Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Congestion aware low power on chip protocols with network on chip with cloud security

Published: 09 September 2022 Publication History

Abstract

This article is to analyze the bottleneck problems of NoC in many more applications like multi-processor communication, computer architectures, and network interface processors. This paper aims to research the advantages and disadvantages of low congestion protocols on highway environments like multiple master multiple slave interconnections. A long-term evolution and effective on-chip connectivity solution for secured, congestion aware and low power architecture is emerged for Network-on-Chip (NoC) for MCSoC. Applications running simultaneously on a different chip are often exchanged dynamically on the chip network. Of-course, in general on chip communication, resources mean that applications may interact with shared resources to influence each other's time characteristics.

References

[1]
Hu J, Ogras UY, and Marculescu R System-level buffer allocation for application-specific networks-on-chip router design IEEE Trans Comput-Aided Des Integr Circuits Syst 2006 25 12 2919-2933
[2]
Varatkar G and Marculescu R On-chip traffic modeling and synthesis for MPEG-2 video applications IEEE Trans VLSI Syst 2004 12–1 108-119
[3]
Chandra V, Xu A, Schmit H, and Pileggi L An interconnect channel design methodology for high performance integrated circuits Proceedings of the Design, Automation and Test in Europe 2004 1138-1143
[4]
Manolache S, Eles P, and Peng Z Buffer space optimization with communication synthesis and traffic shaping for NoCs Proceedings of the Design, Automation and Test in Europe 2006 95-98
[5]
Nicopoulos CA, Dongkook P, Jongman K, Vijaykrishnan N, Yousif MS, and Das CR ViChar: a dynamic virtual channel regulator for network-on-chip routers 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO’06 2006 333-346
[6]
Chien A and Kim J Planar-adaptive routing: low-cost adaptive networks for multiprocessors Proceedings of 19th International Symposium on Computer Architecture 1992 268-277
[7]
Glass CJ and Ni LM Maximally fully adaptive routing in 2d meshes International Conference on Parallel Processing, volume I 1992 101-104
[8]
Li M, Zeng QA, and Jone WB DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip Proceedings of 43rd Design Automation Conference 2006 849-852
[9]
Ramanujam R and Lin B Destination-based adaptive routing on 2D mesh networks Proceedings of 6th ACM/IEEE Symposium on Architectures for Networking and Communications Systems 2010 1-12
[10]
Ma S, Jerger N, and Wang Z DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip Proceedings of 38th International Symposium on Computer Architecture 2011 413-424
[11]
Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, and Tenhunen H CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks Proceedings of 15th Design, Automation and Test in Europe Conference Exhibition 2012 320-325
[12]
Salmine E, A Kulmala, and Hamalainen T Survey of Network-on-Chip Proposals 2008 www.ocpip.org
[13]
Balakrishnan S and Ozguner F A priority-driven flow control mechanism for real-time traffic in multiprocessor networks IEEE Trans Parallel Distrib Sys 1998 9 7 665-678
[14]
Qian Y, Lu Z, and Dou W Analysis of worst-case delay bounds for best-effort communication in wormhole networks on chip IEEE Trans Computer-Aided Design 2010 29 5 802-815
[15]
Chang CS Performance guarantees in communication networks 2000 London Springer-Verlag 1-392
[16]
Le Boudec JY and Thiran P Network calculus: a theory of deterministic queuing systems for the internet Lecture Notes in Computer Science (LNCS) 2001 London Springer-Verlag 1-276
[17]
Jafari F, Lu Z, Jantsch A, and Yaghmaee MH Optimal Regulation of Traffic Flows in Networks-on-Chip Proc. Design,Automation and Test in Europe Conf. and Exhibition 2010 1621-1624
[18]
Bakhouya M et al. Analytical modeling and evaluation of on- chip interconnects using network calculus Proc. ACM/IEEE Int’l Symp. Networks-on-Chip (NOCS) 2009 74-79
[19]
Murali S et al. Design of application-specific networks on chips with floorplan information Proc. IEEE/ACM Int’l Conf. Computer-Aided Design (ICCAD) 2006 355-362
[20]
Feng C, Lu Z, Jantsch A, Li J, and Zhang M FoN: Fault-onNeighbor aware routing algorithm for Networks-on-Chip Proc. 23th IEEE Int. System-on-Chip Conf. (SOCC) 2010 441-446
[21]
Valinataj M, Mohammadi S, and Safari S Fault-aware and reconfigurable routing algorithms for Networks-on-Chip IETE J Res 2011 57 3 215-223
[22]
Valinataj M, Mohammadi S, Plosila J, Liljeberg P, and Tenhunen H “A reconfigurable and adaptive routing method for fault-tolerant mesh based networks-on-chip”, Elsevier Int J Electronics and Communications (AEÜ) 2011 65 7 630-640
[23]
Yin AW et al. Hierarchical agent monitoring NoCs: a design methodology with scalability and variability Proc. 26th NORCHIP Conf. 2008 202-207
[24]
Guang L, Yang B, Plosila J, Latif K, and Tenhunen H Hierarchical power monitoring on NoC - a case study for hierarchical agent monitoring design approach Proc. 28th NORCHIP Conf. 2010
[25]
Guang L, Nigussie E, Rantala P, Isoaho J, and Tenhunen H Hierarchical agent monitoring design approach towards selfaware parallel systems-on-chip ACM Trans Embed Comput Syst 2010 9 3 25
[26]
Kohler A, Schley G, and Radetzki M Fault tolerant network on chip switching with graceful performance degradation IEEE Trans Comput-Aided Des Integr Circuits Syst 2010 29 6 883-96
[27]
Xie Y et al (2009) Three-Dimensional Network-on-Chip Architecture.  In Three Dimensional Integrated Circuit Design, Xie Y et al Eds., Springer US ed, pp. 189-217
[28]
Kahng A et al. ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration Proceedings of Design Automation and Test in Europe 2009
[29]
Zarkesh-Ha P et al. Hybrid network on chip (HNoC): local buses with a global mesh architecture Proceedings of the ACM/IEEE international workshop on System level interconnect prediction 2010 9-14
[30]
Krasteva YE et al. Reconfigurable networks on chip: DRNoC architecture J Syst Archit 2010 56 293-302
[31]
Alliance O Open core protocol specification Release 2003
[32]
Alliance V Virtual component interface standard 2001 http://www.vsi.org/library/specs/summary.html
[33]
Guerrier P and Greiner A A generic architecture for on-chip packet-switched interconnections Proceedings of the conference on Design, automation and test in Europe, ACM 2000 250-256
[34]
Ahonen T, Sigu¨enza-Tortosa DA, Bin H, and Nurmi J Topology optimization for application-specific networks-onchip Proceedings of the 2004 international workshop on System level interconnect prediction, ACM 2004 53-60
[35]
ARM A (2004) AXI Protocol Specification, version 1.0 www.arm.com, ARM
[36]
Wang C, Chao K, Sivaperumal S, Suresh P (2020) “Anti-PVT-Variation Low-Power Time To Digital Converter Design Using 90 nm CMOS Process” IEEE Trans Very Large Scale Integr VLSI Syst;28(9):2069–2073
[37]
Opencores S Wishbone system-on-chip (soc) interconnection architecture for portable ip cores. http://cdn.opencores.org/downloads/wbspec_b3.pdf/ 2002
[38]
Bjerregaard T and Mahadevan S A survey of research and practices of network-on-chip ACM Comput Surv (CSUR) 2006 38 1 1
[39]
Suresh P Creation of optical chain in the focal region of high NA lens of tightly focused higher order Gaussian beam J Opt 2017 46 225-230 Springer
[40]
Celestine I, Suresh P, Revathi M, Kathiravan S, and Chaun Yu Chang An efficient and unique TF/IDF algorithmic model-based data analysis for handling applications with big data streaming Electronics 2019 8 11 1331
[41]
Henkel J, Wolf W, and Chakradhar S On-chip networks: A scalable, communication-centric embedded system design paradigm Proceedings 17th International Conference on VLSI Design, IEEE 2004 845-851
[42]
Ramanujam RS, Soteriou V, Lin B, and Peh LS Design of a high-throughput distributed shared-buffer NoC router Proceeding of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS), IEEE 2010 69-78
[43]
Chan CH, Tsai KL, Lai F, and Tsai SH A priority based output arbiter for NoC router Proceedings of the Circuits and Systems (ISCAS) on IEEE International Symposium, IEEE 2011 1928-1931
[44]
Saponara S, Vitullo F, Petri E, Fanucci L, Coppola M, and Locatelli R Conti M Coverage-driven verification of HDL IP cores Solutions on embedded systems 2011 New York Springer 105-119
[45]
Suresh P, Rajesh KB, Sivasubramonia Pillai TV, and Jaroszewicz Z Effect of annular obstruction and numerical aperture in the focal region of high NA objective lens Opt Commun 2014 318 137-141
[46]
Bertozzi D Network interface architecture and design issues. Networks on Chips: Technology and Tools, The Morgan Kaufmann Series in Systems on Silicon 2006 147-202
[47]
Zimmermann H OSI reference model–The ISO model of architecture for open systems interconnection IEEE Trans Commun 1980 28 4 425-432
[48]
DiTomaso D, Morris R, and Kodi AK Extending the energy efficiency and performance with channel buffers, crossbars, and topology analysis for network-on-chips IEEE Trans Very Large Scale Integr VLSI Syst 2013 21 11 2141-2134
[49]
Hsu CK, Tsai KL, Jheng JF, Ruan SJ, Shen CA (2013) A low power detection routing method for bufferlessNoC. In Quality Electronic Design (ISQED), 2013 14th International Symposium. pp 364–367
[50]
Lukovic S and Christianos N Hierarchical multi-agent protection system for NoC based MPSoCs Proceedings of the International Workshop on Security and Dependability for Resource Constrained Embedded Systems, S&D4RCES ’10 2010 New York, NY, USA ACM 6:1-6:7
[51]
Lukovic S and Christianos N Enhancing network-on-chip components to support security of processing elements Proceedings of the 5th Workshop on Embedded Systems Security, WESS ’10 2010 New York, NY, USA ACM 12:1-12:9
[52]
Ma S, Enright Jerger N, and Wang Z DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. Proceedings of the 38th annual international symposium on Computer architecture, ISCA ’11 2011 New York, NY, USA ACM 413-424
[54]
Obermaisser R and Hoftberger O Fault containment in a reconfigurable multi-processor System-on-a-Chip Industrial Electronics (ISIE), 2011 IEEE International Symposium on 2011 1561-1568
[55]
Munirathinam R, Ponnan S, Chakraborty C. et al. Improved performance on seizure detection in an automated electroencephalogram signal under evolution by extracting entropy feature. Multimed Tools Appl;81:13355–13370
[56]
Otte WR, Dubey A, Pradhan S, Patil P, Gokhale A, Karsai G, and Willemsen J F6com: A component model for resource-constrained and dynamic space-based computing environments 16th IEEE International Symposium on Object/Component/Service-oriented Real-time Distributed Computing 2013
[57]
Jaeger T, Sailer R, and Sreenivasan Y Managing the risk of covert information flows in virtual machine systems ACM Symposium on Access Control Models and Technologies, France 2007
[58]
Suresh P, Mariyal C, Rajesh KB, Pillai TV, and Jaroszewicz Z Tightly focusing of spirally polarized Quadratic Bessel Gaussian beam through a dielectric interface Optik 2014 125 3 1264-1266
[59]
Thirumalai C, Mohan S, and Srivastava G An efficient public key secure scheme for cloud and IoT security Comput Commun 2020 150 634-643
[60]
Sabelfeld A and Myers AC Language-based information-flow security IEEE J Sel Areas Commun 2003 21 2003
[61]
Krohn M and Tromer E Noninterference for a practical difc-based operating system Proceedings of the 2009 IEEE Symposium on Security and Privacy 2009
[62]
Klein G, Elphinstone K, Heiser G, Andronick J, Cock D, Derrin P, Elkaduwe D, Engelhardt K, Kolanski R, Norrish M, Sewell T, Tuch H, Winwood S (2009) sel4: formal verification of an os kernel. In SOSP ’09: 22nd Symposium on Operating Systems Principles, pp 207–220, NY, USA
[63]
Seth B, Dalal S, Jaglan V, Le D-N, Mohan S, and Srivastava G Integrating encryption techniques for secure data storage in the cloud Emerging telecommunication technology, Wiley 2022 2020 1-24
[64]
Lee JW, Ng MC, Asanovic K (2008) Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks. In Proceedings of the 35th Annual International Symposium on Computer Architecture, pp 89–100. Beijing: IEEE
[65]
Lis M, Shim KS, Cho MH, Ren P, Khan O, and Devadas S Eeckhout L and Wenisch T DARSIM: A Parallel Cycle-level NoC Simulator MoBS 2010 - Sixth Annual Workshop on Modeling 2010 Saint Malo, France Benchmarking and Simulation
[66]
Hošek P, Pop T, Bureš T, Hnetynka P, and Malohlava M Grunske L, Reussner R, and Plasil F Comparison of Component Frameworks for Real-Time Embedded Systems Component-Based Software Engineering, ser. Lecture Notes in Computer Science 2010 Heidelberg Springer Berlin 21-36 vol. 6092
[67]
Genßler T, Christoph A, Winter M, Nierstrasz O, Ducasse S, Wuyts R, Arévalo G, Schönhage B, Müller P, Stich  C (2002) “Components for Embedded Software: the PECOS Approach,” in Proceedings of the 2002 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems. New York: ACM. pp 19–26
[68]
Nierstrasz O, Arévalo G, Ducasse S, Wuyts R, Black A, Müller P, Zeidler C, Genssler T, and Van Den Born R A Component Model for Field Devices, Component Deployment 2002 1-13
[69]
Wang N, Schmidt DC, Gokhale A, Rodrigues C, Natarajan B, Loyall JP, Schantz RE, and Gill CD Mahmoud Q QoS-enabled Middleware Middleware for Communications 2004 New York Wiley and Sons 131-162
[70]
Schmidt DC, Natarajan B, Gokhale A, Wang N, Gill C (2002) TAO: A Pattern-Oriented Object Request Broker for Distributed Real-time and Embedded Systems. IEEE Distributed Systems Online;3(2)
[71]
Benini L and De Micheli G Networks on chips: a new SoC paradigm IEEE Comput 2002 35–1 70-78
[72]
Gratz P, Grot B, and Keckler S Regional congestion awareness for load balance in networks-on-chip Proceedings of 14th International Symposium on High Performance Computer Architecture 2008 203-214
[73]
Dally WJ and Seitz CL Deadlock-free message routing in multiprocessor interconnection networks Comp IEEE Trans 1987 100 5 547-553
[74]
Duato J A necessary and sufficient condition for deadlockfree adaptive routing in wormhole networks Parallel Distrib Syst, IEEE Trans 1995 6 10 1055-1067
[75]
Li M, Zeng Q, Jone W (2006) “DyXY- a proximity congestionaware deadlock-free dynamic routing method for Network on Chip,” Proc. 43th Design Automation Conference (DAC), pp. 849–852
[76]
Xu W, Bhatkar S, and Sekar R Taint-enhanced policy enforcement: a practical approach to defeat a wide range of attacks 15th USENIX Security Symposium, Vancouver, BC, Canada 2006
[77]
Light Weight CORBA Component Model Revised Submission, OMG Document realtime/03–05–05 ed., Object Management Group, (2003)
[78]
Object Management Group, DDS for Lightweight CCM Version 1.0 Beta 2, OMG Document ptc/2009–10–25 ed., Object Management Group (2009)

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Journal of Cloud Computing: Advances, Systems and Applications
Journal of Cloud Computing: Advances, Systems and Applications  Volume 11, Issue 1
Dec 2022
1609 pages
ISSN:2192-113X
EISSN:2192-113X
Issue’s Table of Contents

Publisher

Hindawi Limited

London, United Kingdom

Publication History

Published: 09 September 2022
Accepted: 03 August 2022
Received: 23 June 2022

Author Tags

  1. Network-on-Chip
  2. System on Chip
  3. Chip multiprocessor
  4. Congestion
  5. Long term evolution
  6. Embedded transition inversion
  7. Very Large Scale Integration

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 0
    Total Downloads
  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Sep 2024

Other Metrics

Citations

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media