Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
Design of a Computer—The Control Data 6600January 1970
Publisher:
  • Scott Foresman & Co
Published:01 January 1970
Skip Bibliometrics Section
Reflects downloads up to 16 Oct 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Ausavarungnirun R, Miller V, Landgraf J, Ghose S, Gandhi J, Jog A, Rossbach C and Mutlu O (2018). MASK, ACM SIGPLAN Notices, 53:2, (503-518), Online publication date: 30-Nov-2018.
  2. ACM
    Ausavarungnirun R, Landgraf J, Miller V, Ghose S, Gandhi J, Rossbach C and Mutlu O (2018). Mosaic, ACM SIGOPS Operating Systems Review, 52:1, (27-44), Online publication date: 28-Aug-2018.
  3. ACM
    Ausavarungnirun R, Miller V, Landgraf J, Ghose S, Gandhi J, Jog A, Rossbach C and Mutlu O MASK Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (503-518)
  4. ACM
    Ausavarungnirun R, Landgraf J, Miller V, Ghose S, Gandhi J, Rossbach C and Mutlu O Mosaic Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (136-150)
  5. ACM
    Tanasic I, Gelado I, Jorda M, Ayguade E and Navarro N Efficient exception handling support for GPUs Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (109-122)
  6. ACM
    Goodman J and Hsu W Code scheduling and register allocation in large basic blocks ACM International Conference on Supercomputing 25th Anniversary Volume, (88-98)
  7. Jahr R, Shehan B, Uhrig S and Ungerer T Static speculation as post-link optimization for the Grid Alu processor Proceedings of the 2010 conference on Parallel processing, (145-152)
  8. ACM
    Nightingale E, Hodson O, McIlroy R, Hawblitzel C and Hunt G Helios Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles, (221-234)
  9. ACM
    Solworth J Robustly secure computer systems Proceedings of the 2007 Workshop on New Security Paradigms, (55-65)
  10. Bergstra J and Middelburg C (2008). Maurer computers for pipelined instruction processing†, Mathematical Structures in Computer Science, 18:2, (373-409), Online publication date: 1-Apr-2008.
  11. Kissell K MIPS MT Proceedings of the 3rd international conference on High performance embedded architectures and compilers, (9-21)
  12. Liu C and Gaudiot J Static partitioning vs dynamic sharing of resources in simultaneous multithreading microarchitectures Proceedings of the 6th international conference on Advanced Parallel Processing Technologies, (81-90)
  13. ACM
    McCorkle E Programmable bus/memory controllers in modern computer architecture Proceedings of the 43rd annual ACM Southeast Conference - Volume 1, (194-199)
  14. Sethumadhavan S, Desikan R, Burger D, Moore C and Keckler S Scalable Hardware Memory Disambiguation for High ILP Processors Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
  15. Codrescu L, Wills D and Meindl J (2001). Architecture of the Atlas Chip-Multiprocessor, IEEE Transactions on Computers, 50:1, (67-82), Online publication date: 1-Jan-2001.
  16. ACM
    Heil T and Smith J Relational profiling Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, (281-290)
  17. ACM
    Mueller S On the scheduling of variable latency functional units Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures, (148-154)
  18. Banerjia S, Sathaye S, Menezes K and Conte T (1998). MPS, IEEE Transactions on Computers, 47:12, (1382-1397), Online publication date: 1-Dec-1998.
  19. ACM
    Smith J and Pleszkun A Implementation of precise interrupts in pipelined processors 25 years of the international symposia on Computer architecture (selected papers), (291-299)
  20. ACM
    Smith J Decoupled access/execute computer architectures 25 years of the international symposia on Computer architecture (selected papers), (231-238)
  21. ACM
    Espasa R, Valero M and Smith J Vector architectures Proceedings of the 12th international conference on Supercomputing, (425-432)
  22. Sima D (1997). Superscalar Instruction Issue, IEEE Micro, 17:5, (28-39), Online publication date: 1-Sep-1997.
  23. Weiss S Implementation Register Interlocks in Parallel-Pipeline, Multiple Instruction Queue, Superscalar Processors Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
  24. ACM
    Upton M, Huff T, Mudge T and Brown R (1994). Resource allocation in a high clock rate microprocessor, ACM SIGOPS Operating Systems Review, 28:5, (98-109), Online publication date: 1-Dec-1994.
  25. ACM
    Upton M, Huff T, Mudge T and Brown R Resource allocation in a high clock rate microprocessor Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (98-109)
  26. ACM
    Upton M, Huff T, Mudge T and Brown R (1994). Resource allocation in a high clock rate microprocessor, ACM SIGPLAN Notices, 29:11, (98-109), Online publication date: 1-Nov-1994.
  27. ACM
    Rauchwerger L and Padua D The privatizing DOALL test Proceedings of the 8th international conference on Supercomputing, (33-43)
  28. Uğurdağ H and Papachristou C A VLIW architecture based on shifting register files Proceedings of the 26th annual international symposium on Microarchitecture, (263-268)
  29. Rau B Dynamically scheduled VLIW processors Proceedings of the 26th annual international symposium on Microarchitecture, (80-92)
  30. Sano B and Despain A The 16-fold way Proceedings of the 26th annual international symposium on Microarchitecture, (60-69)
  31. Torng H and Day M (1993). Interrupt Handling for Out-of-Order Execution Processors, IEEE Transactions on Computers, 42:1, (122-127), Online publication date: 1-Jan-1993.
  32. ACM
    Wen C Improving instruction supply efficiency in superscalar architectures using instruction trace buffers Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's, (28-36)
  33. ACM
    Chang P, Chen W, Mahlke S and Hwu W Comparing static and dynamic code scheduling for multiple-instruction-issue processors Proceedings of the 24th annual international symposium on Microarchitecture, (25-33)
  34. ACM
    Jordan H and Heuring V Time multiplexed optical computers Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (370-378)
  35. Wang L and Wu C (2019). Distributed Instruction Set Computer Architecture, IEEE Transactions on Computers, 40:8, (915-934), Online publication date: 1-Aug-1991.
  36. ACM
    Farrens M and Pleszkun A (1991). Strategies for achieving improved processor throughput, ACM SIGARCH Computer Architecture News, 19:3, (362-369), Online publication date: 1-May-1991.
  37. ACM
    Nakajima M, Nakano H, Nakakura Y, Yoshida T, Goi Y, Nakai Y, Segawa R, Kishida T and Kadota H (1991). OHMEGA, ACM SIGARCH Computer Architecture News, 19:3, (160-168), Online publication date: 1-May-1991.
  38. ACM
    Kurian L, Hulina P, Coraor L and Mannai D (1991). Classification and performance evaluation of instruction buffering techniques, ACM SIGARCH Computer Architecture News, 19:3, (150-159), Online publication date: 1-May-1991.
  39. ACM
    Bhandarkar D and Clark D (1991). Performance from architecture: comparing a RISC and a CISC with similar hardware organization, ACM SIGARCH Computer Architecture News, 19:2, (310-319), Online publication date: 2-Apr-1991.
  40. ACM
    Bradlee D, Eggers S and Henry R (1991). Integrating register allocation and instruction scheduling for RISCs, ACM SIGARCH Computer Architecture News, 19:2, (122-131), Online publication date: 2-Apr-1991.
  41. ACM
    Bhandarkar D and Clark D (1991). Performance from architecture: comparing a RISC and a CISC with similar hardware organization, ACM SIGOPS Operating Systems Review, 25:Special Issue, (310-319), Online publication date: 2-Apr-1991.
  42. ACM
    Bradlee D, Eggers S and Henry R (1991). Integrating register allocation and instruction scheduling for RISCs, ACM SIGOPS Operating Systems Review, 25:Special Issue, (122-131), Online publication date: 2-Apr-1991.
  43. ACM
    Bhandarkar D and Clark D (1991). Performance from architecture: comparing a RISC and a CISC with similar hardware organization, ACM SIGPLAN Notices, 26:4, (310-319), Online publication date: 2-Apr-1991.
  44. ACM
    Bradlee D, Eggers S and Henry R (1991). Integrating register allocation and instruction scheduling for RISCs, ACM SIGPLAN Notices, 26:4, (122-131), Online publication date: 2-Apr-1991.
  45. ACM
    Farrens M and Pleszkun A Strategies for achieving improved processor throughput Proceedings of the 18th annual international symposium on Computer architecture, (362-369)
  46. ACM
    Nakajima M, Nakano H, Nakakura Y, Yoshida T, Goi Y, Nakai Y, Segawa R, Kishida T and Kadota H OHMEGA Proceedings of the 18th annual international symposium on Computer architecture, (160-168)
  47. ACM
    Kurian L, Hulina P, Coraor L and Mannai D Classification and performance evaluation of instruction buffering techniques Proceedings of the 18th annual international symposium on Computer architecture, (150-159)
  48. ACM
    Bhandarkar D and Clark D Performance from architecture: comparing a RISC and a CISC with similar hardware organization Proceedings of the fourth international conference on Architectural support for programming languages and operating systems, (310-319)
  49. ACM
    Bradlee D, Eggers S and Henry R Integrating register allocation and instruction scheduling for RISCs Proceedings of the fourth international conference on Architectural support for programming languages and operating systems, (122-131)
  50. Farrens M and Pleszkun A An evaluation of functional unit lengths for single-chip processors Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture, (209-215)
  51. ACM
    Higbee L (1990). Quick and easy cache performance analysis, ACM SIGARCH Computer Architecture News, 18:2, (33-44), Online publication date: 1-May-1990.
  52. ACM
    Smotherman M (1989). A sequencing-based taxonomy of I/0 systems and review of historical machines, ACM SIGARCH Computer Architecture News, 17:5, (5-15), Online publication date: 1-Sep-1989.
  53. Wang L and Wu C I-NET mechanism for issuing multiple instructions Proceedings of the 1988 ACM/IEEE conference on Supercomputing, (88-95)
  54. Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (2019). A VLIW architecture for a trace Scheduling Compiler, IEEE Transactions on Computers, 37:8, (967-979), Online publication date: 1-Aug-1988.
  55. Pleszkun A and Sohi G The performance potential of multiple functional unit processors Proceedings of the 15th Annual International Symposium on Computer architecture, (37-44)
  56. ACM
    Goodman J and Hsu W Code scheduling and register allocation in large basic blocks Proceedings of the 2nd international conference on Supercomputing, (442-452)
  57. ACM
    Pleszkun A and Sohi G (1988). The performance potential of multiple functional unit processors, ACM SIGARCH Computer Architecture News, 16:2, (37-44), Online publication date: 17-May-1988.
  58. Smith J and Pleszkun A (2019). Implementing Precise Interrupts in Pipelined Processors, IEEE Transactions on Computers, 37:5, (562-573), Online publication date: 1-May-1988.
  59. ACM
    Smith J, Dermer G, Vanderwarn B, Klinger S, Rozewski C, Fowler D, Scidmore K and Laudon J (1987). The ZS-1 central processor, ACM SIGARCH Computer Architecture News, 15:5, (199-204), Online publication date: 1-Nov-1987.
  60. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (1987). A VLIW architecture for a trace scheduling compiler, ACM SIGARCH Computer Architecture News, 15:5, (180-192), Online publication date: 1-Nov-1987.
  61. ACM
    Smith J, Dermer G, Vanderwarn B, Klinger S, Rozewski C, Fowler D, Scidmore K and Laudon J The ZS-1 central processor Proceedings of the second international conference on Architectual support for programming languages and operating systems, (199-204)
  62. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P A VLIW architecture for a trace scheduling compiler Proceedings of the second international conference on Architectual support for programming languages and operating systems, (180-192)
  63. ACM
    Smith J, Dermer G, Vanderwarn B, Klinger S, Rozewski C, Fowler D, Scidmore K and Laudon J (1987). The ZS-1 central processor, ACM SIGPLAN Notices, 22:10, (199-204), Online publication date: 1-Oct-1987.
  64. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (1987). A VLIW architecture for a trace scheduling compiler, ACM SIGPLAN Notices, 22:10, (180-192), Online publication date: 1-Oct-1987.
  65. ACM
    Smith J, Dermer G, Vanderwarn B, Klinger S, Rozewski C, Fowler D, Scidmore K and Laudon J (1987). The ZS-1 central processor, ACM SIGOPS Operating Systems Review, 21:4, (199-204), Online publication date: 1-Oct-1987.
  66. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (1987). A VLIW architecture for a trace scheduling compiler, ACM SIGOPS Operating Systems Review, 21:4, (180-192), Online publication date: 1-Oct-1987.
  67. ACM
    Hwu W and Patt Y Checkpoint repair for out-of-order execution machines Proceedings of the 14th annual international symposium on Computer architecture, (18-26)
  68. ACM
    Padua D and Wolfe M (1986). Advanced compiler optimizations for supercomputers, Communications of the ACM, 29:12, (1184-1201), Online publication date: 1-Dec-1986.
  69. Acosta R, Kjelstrup J and Torng H (1986). An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors, IEEE Transactions on Computers, 35:9, (815-828), Online publication date: 1-Sep-1986.
  70. Smith J, Weiss S and Pang N (1986). A Simulation Study of Decoupled Architecture Computers, IEEE Transactions on Computers, 35:8, (692-702), Online publication date: 1-Aug-1986.
  71. Kunkel S and Smith J Optimal pipelining in supercomputers Proceedings of the 13th annual international symposium on Computer architecture, (404-411)
  72. ACM
    Kunkel S and Smith J (1986). Optimal pipelining in supercomputers, ACM SIGARCH Computer Architecture News, 14:2, (404-411), Online publication date: 1-May-1986.
  73. Gehringer E and Keedy J Tagged architecture Proceedings of the 12th annual international symposium on Computer architecture, (162-170)
  74. Smith J and Pleszkun A Implementation of precise interrupts in pipelined processors Proceedings of the 12th annual international symposium on Computer architecture, (36-44)
  75. Goodman J, Hsieh J, Liou K, Pleszkun A, Schechter P and Young H PIPE Proceedings of the 12th annual international symposium on Computer architecture, (20-27)
  76. ACM
    Gehringer E and Keedy J (2019). Tagged architecture, ACM SIGARCH Computer Architecture News, 13:3, (162-170), Online publication date: 1-Jun-1985.
  77. ACM
    Smith J and Pleszkun A (2019). Implementation of precise interrupts in pipelined processors, ACM SIGARCH Computer Architecture News, 13:3, (36-44), Online publication date: 1-Jun-1985.
  78. ACM
    Goodman J, Hsieh J, Liou K, Pleszkun A, Schechter P and Young H (1985). PIPE, ACM SIGARCH Computer Architecture News, 13:3, (20-27), Online publication date: 1-Jun-1985.
  79. Weiss S and Smith J (1984). Instruction Issue Logic in Pipelined Supercomputers, IEEE Transactions on Computers, 33:11, (1013-1022), Online publication date: 1-Nov-1984.
  80. ACM
    Dippé M and Swensen J (1984). An adaptive subdivision algorithm and parallel architecture for realistic image synthesis, ACM SIGGRAPH Computer Graphics, 18:3, (149-158), Online publication date: 1-Jul-1984.
  81. ACM
    Weiss S and Smith J (2019). Instruction issue logic for pipelined supercomputers, ACM SIGARCH Computer Architecture News, 12:3, (110-118), Online publication date: 1-Jun-1984.
  82. ACM
    Feustel E (1984). Process exchange on the PR1ME family of computers, ACM SIGARCH Computer Architecture News, 12:1, (32-43), Online publication date: 1-Mar-1984.
  83. ACM
    Dippé M and Swensen J An adaptive subdivision algorithm and parallel architecture for realistic image synthesis Proceedings of the 11th annual conference on Computer graphics and interactive techniques, (149-158)
  84. ACM
    Weiss S and Smith J Instruction issue logic for pipelined supercomputers Proceedings of the 11th annual international symposium on Computer architecture, (110-118)
  85. ACM
    Gabow H and Tarjan R A linear-time algorithm for a special case of disjoint set union Proceedings of the fifteenth annual ACM symposium on Theory of computing, (246-251)
  86. ACM
    Srini V and Asenjo J (2019). Analysis of Cray-1S architecture, ACM SIGARCH Computer Architecture News, 11:3, (194-206), Online publication date: 30-Jun-1983.
  87. ACM
    Srini V and Asenjo J Analysis of Cray-1S architecture Proceedings of the 10th annual international symposium on Computer architecture, (194-206)
  88. ACM
    Metcalfe R and Boggs D (1983). Ethernet, Communications of the ACM, 26:1, (90-95), Online publication date: 1-Jan-1983.
  89. Kuck D and Stokes R (1982). The Burroughs Scientific Processor (BSP), IEEE Transactions on Computers, 31:5, (363-376), Online publication date: 1-May-1982.
  90. Smith J Decoupled access/execute computer architectures Proceedings of the 9th annual symposium on Computer Architecture, (112-119)
  91. ACM
    Smith J (1982). Decoupled access/execute computer architectures, ACM SIGARCH Computer Architecture News, 10:3, (112-119), Online publication date: 1-Apr-1982.
  92. ACM
    Wah B and Ma Y The architecture of MANIP Proceedings of the May 4-7, 1981, national computer conference, (149-161)
  93. ACM
    Tartar J Multiprocessor hardware Proceedings of the ACM 1980 annual conference, (518-526)
  94. ACM
    Hibbard P, Hisgen A and Rodeheffer T A language implementation design for a multiprocessor computer system Proceedings of the 5th annual symposium on Computer architecture, (66-72)
  95. Higbie L (1978). Overlapped Operation with Microprogramming, IEEE Transactions on Computers, 27:3, (270-275), Online publication date: 1-Mar-1978.
  96. ACM
    Cox L Predicting concurrent computer system performance using Petri-Net models Proceedings of the 1978 annual conference - Volume 2, (901-913)
  97. Reddi S and Feustel E (1978). A Restructurable Computer System, IEEE Transactions on Computers, 27:1, (1-20), Online publication date: 1-Jan-1978.
  98. Kent J (1977). Highlights of a Study of Floating-Point Instructions, IEEE Transactions on Computers, 26:7, (660-666), Online publication date: 1-Jul-1977.
  99. ACM
    Schneiker C (1977). The microprocessors of the future, ACM SIGARCH Computer Architecture News, 5:8, (15-16), Online publication date: 1-Apr-1977.
  100. ACM
    Ramseyer R and Dam A (1977). A multi-microprocessor implementation of a general purpose pipelined CPU, ACM SIGARCH Computer Architecture News, 5:7, (29-34), Online publication date: 17-Mar-1977.
  101. ACM
    Ramseyer R and Dam A A multi-microprocessor implementation of a general purpose pipelined CPU Proceedings of the 4th annual symposium on Computer architecture, (29-34)
  102. ACM
    Brown R, Browne J and Chandy K (1977). Memory management and response time, Communications of the ACM, 20:3, (153-165), Online publication date: 1-Mar-1977.
  103. Shedletsky J (1977). Comment on the Sequential and Indeterminate Behavior of an End-Around-Carry Adder, IEEE Transactions on Computers, 26:3, (271-272), Online publication date: 1-Mar-1977.
  104. ACM
    Burkhardt K and DeSanto J The modular logic machine design system for loosely coupled systems Proceedings of the 1977 annual conference, (365-371)
  105. Gehringer E and Schwetman H Run-time characteristics of a simulation model Proceedings of the 4th symposium on Simulation of computer systems, (121-128)
  106. Noetzel A and Herring L Experience with trace driven modeling Proceedings of the 4th symposium on Simulation of computer systems, (111-119)
  107. ACM
    Metcalfe R and Boggs D (1976). Ethernet, Communications of the ACM, 19:7, (395-404), Online publication date: 1-Jul-1976.
  108. ACM
    Gehringer E and Schwetman H (2019). Run-time characteristics of a simulation model, ACM SIGSIM Simulation Digest, 7:4, (121-128), Online publication date: 1-Jul-1976.
  109. ACM
    Noetzel A and Herring L (1976). Experience with trace driven modeling, ACM SIGSIM Simulation Digest, 7:4, (111-119), Online publication date: 1-Jul-1976.
  110. ACM
    Anderson J and Browne J Graph models of computer systems Proceedings of the 1976 ACM SIGMETRICS conference on Computer performance modeling measurement and evaluation, (166-178)
  111. ACM
    Epstein G (1975). The pyramid teaching computer structures by computer structures, ACM SIGCSE Bulletin, 7:3, (58-61), Online publication date: 1-Sep-1975.
  112. Keim J and Schwetman H Describing program behavior in a multiprogramming computer system Proceedings of the 3rd symposium on Simulation of computer systems, (21-26)
  113. ACM
    Schwetman H Gathering and analyzing data from a computer system Proceedings of the 1975 annual conference, (112-117)
  114. ACM
    Schwetman H (2019). Analysis of a time-sharing subsystem (A Preliminary Report), ACM SIGMETRICS Performance Evaluation Review, 3:4, (65-75), Online publication date: 1-Dec-1974.
  115. ACM
    Harrison M (2019). A language-oriented instruction set for the BALM language, ACM SIGPLAN Notices, 9:8, (161-168), Online publication date: 1-Aug-1974.
  116. Schwetman H Simulation of computer systems using automatically generated load descriptions Proceedings of the 7th conference on Winter simulation - Volume 2, (699-704)
  117. ACM
    Schwetman H Analysis of a time-sharing subsystem (A Preliminary Report) Proceedings of the 1974 ACM SIGMETRICS conference on Measurement and evaluation, (65-75)
  118. ACM
    Sherman S, Howard J and Browne J A study of response times under various deadlock algorithms and job schedulers Proceedings of the 1974 annual ACM conference - Volume 2, (520-525)
  119. ACM
    Howard J A large-scale dual operating system Proceedings of the ACM annual conference, (242-248)
  120. ACM
    Harrison M A language-oriented instruction set for the BALM language Proceedings of the meeting on SIGPLAN/SIGMICRO interface, (161-168)
  121. ACM
    Christensen G and Jones P The Control Data® STAR-100 file storage station Proceedings of the December 5-7, 1972, fall joint computer conference, part I, (561-569)
  122. ACM
    Browne J, Lan J and Baskett F The interaction of multi-programming job scheduling and CPU scheduling Proceedings of the December 5-7, 1972, fall joint computer conference, part I, (13-21)
  123. ACM
    Gasser S Program optimization Proceedings of the third annual symposium on SIGCOSIM: Major issues confronting managers of computer resources, (49-54)
  124. ACM
    Gasser S (1972). Program optimization, ACM SIGCSIM Installation Management Review, 2:si1, (49-54), Online publication date: 1-Oct-1972.
  125. ACM
    Schwetman H and Brown J An experimental study of computer system performance Proceedings of the ACM annual conference - Volume 2, (693-703)
  126. ACM
    Noe J and Nutt G Validation of a trace-driven CDC 6400 simulation Proceedings of the May 16-18, 1972, spring joint computer conference, (749-757)
Contributors
  • Control Data Corporation

Recommendations