Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Bringing NoCs to 65 nm

Published: 01 September 2007 Publication History

Abstract

Very deep submicron process technologies are ideal application fields for NoCs, which offer a promising solution to the scalability problem. This article sheds light on the benefits and challenges of NoC-based interconnect design in nanometer CMOS. The authors present experimental results from fully working 65-nm NoC designs and a detailed scalability analysis.

References

[1]
L. Benini and G. De Micheli, "Networks on Chip: A New SoC Paradigm," Computer, vol. 35, no. 1, Jan. 2002, pp. 70-78.
[2]
W.J. Dally and B. Towles, "Route Packets, Not Wires: On-Chip Interconnection Networks," Proc. 38th Conf. Design Automation (DAC 01), ACM Press, 2001, pp. 684-689.
[3]
F. Angiolini et al., "Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness," Proc. Design, Automation and Test in Europe Conf. (DATE 06), IEEE Press, 2006, pp. 124-129.
[4]
A. Jantsch and H. Tenhunen eds. Networks on Chip, Kluwer Academic, 2003.
[5]
L. Benini, and G.D. Micheli eds., Networks on Chips: Technology and Tools, Morgan Kaufmann, 2006.
[6]
A. Pullini et al., "Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes," Proc. 18th Ann. Symp. Integrated Circuits and System Design (SBCCI 05), ACM Press, 2005, pp. 224-229.
[7]
S. Murali et al., "Designing Application-Specific Networks on Chips with Floorplan Information," Proc. IEEE/ACM Int'l Conf. Computer-Aided Design (ICCAD 06), IEEE Press, 2006, pp. 355-362.
[8]
A. Pullini et al., "NoC Design and Implementation in 65 nm Technology," Proc. 1st Int'l Symp. Networks-on-Chip (NOCS 07), IEEE Press, 2007, pp. 273-282.
[9]
L. Benini and G. De Micheli, "Networks on Chip: A New SoC Paradigm," Computer, vol. 35, no. 1, Jan. 2002, pp. 70-78.
[10]
W.J. Dally and B. Towles, "Route Packets, Not Wires: On-Chip Interconnection Networks," Proc. 38th Conf. Design Automation (DAC 01), ACM Press, 2001, pp. 684-689.
[11]
P. Guerrier and A. Greiner, "A Generic Architecture for On-Chip Packet-Switched Interconnections," Proc. Design Automation and Test in Europe Conf. (DATE 00), IEEE Press, 2000, pp. 250-256.
[12]
K. Goossens, J. Dielissen, and A. Radulescu, "Aethereal Network on Chip: Concepts, Architectures, and Implementations," IEEE Design &Test, vol. 22, no. 5, Sept.–Oct. 2005, pp. 414-421.
[13]
F. Angiolini et al., "Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness," Proc. Design, Automation and Test in Europe Conf. (DATE 06), IEEE Press, 2006, pp. 124-129.
[14]
J. Hu and R. Marculescu, "Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures," Proc. Design, Automation and Test in Europe Conf. (DATE 03), IEEE Press, 2003, pp. 10688-10693.
[15]
S. Murali, L. Benini, and G.D. Micheli, "Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees," Proc. Asia and South Pacific Design Automation Conf. (ASP-DAC 05), IEEE Press, vol. 1, 2005, pp. 27-32.
[16]
A. Pinto, L.P. Carloni, and A.L. Sangiovanni-Vincentelli, "Efficient Synthesis of Networks on Chip," Proc. 21st Int'l Conf. Computer Design (ICCD 03), IEEE CS Press, 2003, pp. 146-150.
[17]
W.H. Ho and T.M. Pinkston, "A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns," Proc. 9th Int'l Symp. High-Performance Computer Architecture (HPCA 03), IEEE CS Press, 2003, pp. 377-388.
[18]
A. Hansson, K. Goossens, and A. Radulescu, "A Unified Approach to Constrained Mapping and Routing on Network-on-Chip Architectures," Proc. 3rd IEEE/ACM/IFIP Int'l Conf. Hardware/Software Codesign and System Synthesis (CODES+ISSS 05), IEEE CS Press, 2005, pp. 75-80.
[19]
K. Srinivasan, K.S. Chatha, and G. Konjevod, "An Automated Technique for Topology and Route Generation of Application Specific On-Chip Interconnection Networks," Proc. IEEE/ACM Int'l Conf. Computer-Aided Design (ICCAD 05), IEEE CS Press, 2005, pp. 231-237.
[20]
T. Ahonen et al., "Topology Optimization for Application-Specific Networks-on-Chip," Proc. Int'l Workshop System-Level Interconnect Prediction (SLIP 04), ACM Press, 2004, pp. 53-60.
[21]
S. Kolson et al., "A Network on Chip Architecture and Design Methodology," Proc. IEEE Computer Soc. Ann. Symp. VLSI (ISVLSI 02), IEEE Press, 2002, pp. 105-112.
[22]
S. Murali et al., "Designing Application-Specific Networks on Chips with Floorplan Information," Proc. IEEE/ACM Int'l Conf. Computer-Aided Design (ICCAD 06), IEEE Press, 2006, pp. 355-362.
[23]
A. Pullini et al., "NoC Design and Implementation in 65 nm Technology," Proc. 1st Int'l Symp. Networks-on-Chip (NOCS 07), IEEE Press, 2007, pp. 273-282.
[24]
W. Hang-Sheng, P. Li-Shiuan, and S. Malik, "A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip Networks," Proc. Design, Automation and Test in Europe Conf. (DATE 05), IEEE Press, 2005, pp. 1238-1243.

Cited By

View all
  • (2018)Power-aware high level evaluation model of interconnect length of on-chip memory network topologyInternational Journal of Computational Science and Engineering10.5555/3292834.329284117:4(422-431)Online publication date: 1-Jan-2018
  • (2016)A Survey on Design Approaches to Circumvent Permanent Faults in Networks-on-ChipACM Computing Surveys10.1145/288678148:4(1-36)Online publication date: 18-Mar-2016
  • (2014)darkNoCProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593117(1-6)Online publication date: 1-Jun-2014
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Micro
IEEE Micro  Volume 27, Issue 5
September 2007
109 pages

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 01 September 2007

Author Tags

  1. deep submicron design
  2. design aids
  3. low-power design
  4. multicore architectures
  5. network on chip
  6. on-chip interconnection networks
  7. power management

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Power-aware high level evaluation model of interconnect length of on-chip memory network topologyInternational Journal of Computational Science and Engineering10.5555/3292834.329284117:4(422-431)Online publication date: 1-Jan-2018
  • (2016)A Survey on Design Approaches to Circumvent Permanent Faults in Networks-on-ChipACM Computing Surveys10.1145/288678148:4(1-36)Online publication date: 18-Mar-2016
  • (2014)darkNoCProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593117(1-6)Online publication date: 1-Jun-2014
  • (2014)Designing single-cycle long links in hierarchical NoCsMicroprocessors & Microsystems10.1016/j.micpro.2014.05.00538:8(814-825)Online publication date: 1-Nov-2014
  • (2013)Designing on-chip networks for throughput acceleratorsACM Transactions on Architecture and Code Optimization10.1145/251242910:3(1-35)Online publication date: 16-Sep-2013
  • (2012)Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCsProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492831(491-496)Online publication date: 12-Mar-2012
  • (2012)ViperProceedings of the 39th Annual International Symposium on Computer Architecture10.5555/2337159.2337199(344-355)Online publication date: 9-Jun-2012
  • (2012)ViperACM SIGARCH Computer Architecture News10.1145/2366231.233719940:3(344-355)Online publication date: 9-Jun-2012
  • (2011)A low-swing crossbar and link generator for low-power networks-on-chipProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132495(779-786)Online publication date: 7-Nov-2011
  • (2011)Interconnect physical analyser (IPAA) applied to the design of scalable Network-on-Chip interconnect for cryptographic acceleratorsProceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip10.1145/1999946.1999982(225-232)Online publication date: 1-May-2011
  • Show More Cited By

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media