Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
Networks on chipJanuary 2003
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-1-4020-7392-2
Published:01 January 2003
Pages:
312
Skip Bibliometrics Section
Reflects downloads up to 03 Oct 2024Bibliometrics
Abstract

No abstract available.

Skip Table Of Content Section
chapter
Preface
Pages .7–.8
chapter
Will networks on chip close the productivity gap?
Pages 3–18

We introduce two properties of the design process called the arbitrary composability and the linear effort properties. We argue that a design paradigm, which has these two properties is scalable and has the potential to keep up with the pace of ...

chapter
A design methodology for NOC-based systems
Pages 19–38

Diversity of computational requirements of information technology products will increase. The products will be based on computers, but the full exploitation of silicon capacity will require improvements in design productivity and system architectures. ...

chapter
Mapping concurrent applications onto architectural platforms
Pages 39–59

Embedded system designers are faced with an expanding array of challenges 1in both application and architecture design. One challenge is the task of modelling heterogeneous concurrent applications. Another is the task of finding a programming model for ...

chapter
Guaranteeing the quality of services in networks on chip
Pages 61–82

Users expect a predictable quality of service (QOS) of embedded systems, even for future, more dynamic, applications. System-on-chip designers use networks on chip (NOC) to solve deep submicron problems, and to divide global problems into local, ...

chapter
On packet switched networks for on-chip communication
Pages 85–106

Designing a system on a chip with large number of cores poses many challenging problems. Designing a flexible on-chip communication network, which can provide the desired bandwidth and can be reused across many applications, is the key problem. In this ...

chapter
Energy-reliability trade-off for NoCs
Pages 107–129

Solutions for combined energy minimization and communication reliability control have to be developed for SoC networks. Redundant encodings and error-resilient protocols create new degrees of freedom for trading off energy against realiability and ...

chapter
Testing strategies for networks on chip
Pages 131–152

The complexity of Networks-on-Chip (NoC) makes the application of traditional test methods obsolete. For NoC, a combination of methods known from the System-on-Chip, memory and FPGA test areas should be used. That includes functional test, scan test, ...

chapter
Clocking strategies for networks-on-chip
Pages 153–172

One of the main problems when designing today's ASICs, is to distribute a skew-free synchronous clock over the whole chip. A large part of the power is also consumed in the clock-tree, in some cases as much as 50% of the total power consumption of the ...

chapter
A parallel computer as a NOC region
Pages 173–192

A network on chip (NOC) scheme relying on reuse of existing intellectual property blocks and a unified communication solution has been proposed for solving architectural and design productivity problems of future systems on chips. A NOC consists of a ...

chapter
NOC Application programming interfaces: high level communication primitives and operating system services for power management
Pages 239–260

Due to its heterogeneous and distributed nature, programming NoC communications may be very complicated if we treat NoC as individual elements of resources, switches, and interfaces. To mitigate the complexity, we raise the abstraction level and take ...

chapter
Multi-level software validation for NOC
Pages 261–279

This chapter presents a problem in conventional methods of validating software design for NoC: software validation at different abstraction levels. As a solution to resolve the problem, a method of multi-level software validation is explained.

chapter
Software for multiprocessor networks on chip
Pages 281–303

Multiprocessor SoC becomes increasingly software-intensive due to multiplatform design, real-time performance, robustness, reliability, availability, and safety constraints. In this chapter, we examine multiprocessor SoC software, by focusing on user-...

Cited By

  1. Khan S, Anjum S, Gulzari U, Ishmanov F, Palesi M and Afzal M (2018). An optimized hybrid algorithm in term of energy and performance for mapping real time workloads on 2d based on-chip networks, Applied Intelligence, 48:12, (4792-4804), Online publication date: 1-Dec-2018.
  2. Rezaei-Ravari M and Sattari-Naeini V (2018). Reliable congestion-aware path prediction mechanism in 2D NoCs based on EFuNN, The Journal of Supercomputing, 74:11, (6102-6125), Online publication date: 1-Nov-2018.
  3. Aghaei B, Khademzadeh A, Reshadi M and Badie K (2017). Link Testing, Journal of Electronic Testing: Theory and Applications, 33:2, (209-225), Online publication date: 1-Apr-2017.
  4. Azizi S, Hashemi N and Khonsari A (2017). A flexible and high-performance data center network topology, The Journal of Supercomputing, 73:4, (1484-1503), Online publication date: 1-Apr-2017.
  5. Fakih M, Lenz A, Azkarate-Askasua M, Coronel J, Crespo A, Davidmann S, Diaz Garcia J, Romero N, Grttner K, Schreiner S, Seyyedi R, Obermaisser R, Maleki A, berg J, Mohammadat M, Prez-Cerrolaza J, Sander I and Sderquist I (2017). SAFEPOWER project, Microprocessors & Microsystems, 52:C, (89-105), Online publication date: 1-Jul-2017.
  6. Azizi S, Hashemi N and Khonsari A (2016). HHS, The Journal of Supercomputing, 72:3, (874-899), Online publication date: 1-Mar-2016.
  7. Chen X, Lu Z, Jantsch A, Chen S, Guo Y, Chen S and Chen H (2015). Performance analysis of homogeneous on-chip large-scale parallel computing architectures for data-parallel applications, Journal of Electrical and Computer Engineering, 2015, (22-22), Online publication date: 1-Jan-2015.
  8. Xu T, Leppänen V, Liljeberg P, Plosila J and Tenhunen H (2015). PDNOC, Concurrency and Computation: Practice & Experience, 27:4, (1054-1067), Online publication date: 25-Mar-2015.
  9. Forsell M, Leppänen V and Penttonen M Cost of Bandwidth-Optimized Sparse Mesh Layouts Proceedings of the 13th International Conference on Parallel Computing Technologies - Volume 9251, (375-389)
  10. ACM
    Sutton F, Zimmerling M, Da Forno R, Lim R, Gsell T, Giannopoulou G, Ferrari F, Beutel J and Thiele L Bolt Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems, (267-280)
  11. Bahrebar P and Stroobandt D Improving hamiltonian-based routing methods for on-chip networks Proceedings of the conference on Design, Automation & Test in Europe, (1-4)
  12. ACM
    Jaros J and Tyrala R GPU-accelerated evolutionary design of the complete exchange communication on wormhole networks Proceedings of the 2014 Annual Conference on Genetic and Evolutionary Computation, (1023-1030)
  13. ACM
    Bogdan P, Marculescu R and Jain S (2013). Dynamic power management for multidomain system-on-chip platforms, ACM Transactions on Design Automation of Electronic Systems, 18:4, (1-20), Online publication date: 1-Oct-2013.
  14. ACM
    Lankes A, Wild T, Wallentowitz S and Herkersdorf A (2012). Benefits of selective packet discard in networks-on-chip, ACM Transactions on Architecture and Code Optimization (TACO), 9:2, (1-21), Online publication date: 1-Jun-2012.
  15. ACM
    Badri S, Holsmark R and Kumar S Junction based routing Proceedings of the Fifth International Workshop on Network on Chip Architectures, (45-50)
  16. ACM
    Rahmani A, Latif K, Vaddina K, Liljeberg P, Plosila J and Tenhunen H Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, (65-72)
  17. ACM
    Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J and Tenhunen H Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, (73-80)
  18. ACM
    Dubois F, Cano J, Coppola M, Flich J and Petrot F Spidergon STNoC design flow Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, (267-268)
  19. ACM
    Leppänen V, Penttonen M and Forsell M A layout for sparse cube-connected-cycles network Proceedings of the 12th International Conference on Computer Systems and Technologies, (32-37)
  20. Naeem A, Chen X, Lu Z and Jantsch A Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems Proceedings of the 16th Asia and South Pacific Design Automation Conference, (154-159)
  21. Su W, Shen J and Hsiung P Network-on-chip router design with buffer-stealing Proceedings of the 16th Asia and South Pacific Design Automation Conference, (160-164)
  22. Liu P, Liu Y, Xia B, Xiang C, Wang X, Wu K, Wang W and Yao Q (2019). A networks-on-chip emulation/verification framework, International Journal of High Performance Systems Architecture, 3:1, (2-11), Online publication date: 1-Jan-2011.
  23. Wang L, Ding C, Zhong S and Zhang J (2019). GNLS: a hybrid on-chip communication architecture for SoC designs, International Journal of High Performance Systems Architecture, 3:2/3, (157-166), Online publication date: 1-May-2011.
  24. Teimouri N, Modarressi M, Tavakkol A and Sarbazi-azad H Energy-optimized on-chip networks using reconfigurable shortcut paths Proceedings of the 24th international conference on Architecture of computing systems, (231-242)
  25. Rahmani A, Vaddina K, Liljeberg P, Plosila J and Tenhunen H Power and area optimization of 3D networks-on-chip using smart and efficient vertical channels Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation, (278-287)
  26. ACM
    Wang X, Yang M, Jiang Y and Liu P (2010). A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints, ACM Transactions on Architecture and Code Optimization, 7:1, (1-30), Online publication date: 1-Apr-2010.
  27. ACM
    Ghosh P and Sen A Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints Proceedings of the 2010 ACM Symposium on Applied Computing, (535-541)
  28. ACM
    Zhang Y, Buckwalter J and Cheng C Performance prediction of throughput-centric pipelined global interconnects with voltage scaling Proceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction, (69-76)
  29. ACM
    Jaros J and Dvorak V Evolutionary-based conflict-free scheduling of collective communications on spidergon NoCs Proceedings of the 12th annual conference on Genetic and evolutionary computation, (1171-1178)
  30. ACM
    Bogdan P and Marculescu R Workload characterization and its impact on multicore platform design Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (231-240)
  31. Chen X, Lu Z, Jantsch A and Chen S Supporting distributed shared memory on multi-core network-on-chips using a dual microcoded controller Proceedings of the Conference on Design, Automation and Test in Europe, (39-44)
  32. Pasca V, Anghel L, Rusu C, Locatelli R and Coppola M Error resilience of intra-die and inter-die communication with 3D Spidergon STNoC Proceedings of the Conference on Design, Automation and Test in Europe, (275-278)
  33. Stehr G and Eckmüller J Transaction level modeling in practice Proceedings of the International Conference on Computer-Aided Design, (324-331)
  34. Lankes A, Wild T, Herkersdorf A, Sonntag S and Reinig H Comparison of Deadlock Recovery and Avoidance Mechanisms to Approach Message Dependent Deadlocks in On-chip Networks Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, (17-24)
  35. Carloni L, Kahng A, Muddu S, Pinto A, Samadi K and Sharma P (2018). Accurate predictive interconnect modeling for system-level design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:4, (679-684), Online publication date: 1-Apr-2010.
  36. Daneshtalab M, Ebrahimi M, Liljeberg P, Plosila J and Tenhunen H A Low-Latency and Memory-Efficient On-chip Network Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, (99-106)
  37. Liu H, Cao F, Liu D, Zou X and Zhang Z A methodology for design of unbuffered router microarchitecture for S-mesh NoC Proceedings of the 2010 IFIP international conference on Network and parallel computing, (442-451)
  38. Galataki D, Radulescu A, Verstoep K and Fokkink W Embedded network protocols for mobile devices Proceedings of the 15th international conference on Formal methods for industrial critical systems, (164-179)
  39. ACM
    Rahmani A, Liljeberg P, Plosila J and Tenhunen H Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs Proceedings of the 7th ACM international conference on Computing frontiers, (267-276)
  40. ACM
    Bogdan P and Marculescu R Statistical physics approaches for network-on-chip traffic characterization Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (461-470)
  41. ACM
    Naeem A, Chen X, Lu Z and Jantsch A (2010). Scalability of relaxed consistency models in NoC based multicore architectures, ACM SIGARCH Computer Architecture News, 37:5, (8-15), Online publication date: 6-Dec-2009.
  42. Samman F, Hollstein T and Glesner M (2018). Networks-on-chip based on dynamic wormhole packet identity mapping management, VLSI Design, 2009, (1-15), Online publication date: 1-Jan-2009.
  43. Zhou P, Zhao B, Du Y, Xu Y, Zhang Y, Yang J and Zhao L Frequent value compression in packet-based NoC architectures Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (13-18)
  44. De Micheli G (2019). An outlook on design technologies for future integrated systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:6, (777-790), Online publication date: 1-Jun-2009.
  45. Wang L, Song H, Jiang Y and Zhang L (2009). A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures, Computers and Electrical Engineering, 35:6, (846-855), Online publication date: 1-Nov-2009.
  46. Liu P, Xia B, Xiang C, Wang X, Wang W and Yao Q (2009). A networks-on-chip architecture design space exploration - The LIB, Computers and Electrical Engineering, 35:6, (817-836), Online publication date: 1-Nov-2009.
  47. ACM
    Ogras U and Marculescu R (2008). Analysis and optimization of prediction-based flow control in networks-on-chip, ACM Transactions on Design Automation of Electronic Systems (TODAES), 13:1, (1-28), Online publication date: 1-Jan-2008.
  48. ACM
    Al Faruque M and Henkel J Minimizing virtual channel buffer for routers in on-chip communication architectures Proceedings of the conference on Design, automation and test in Europe, (1238-1243)
  49. ACM
    Deledda A, Mucci C, Vitkovski A, Bonnot P, Grasset A, Millet P, Kuehnle M, Ries F, Huebner M, Becker J, Coppola M, Pieralisi L, Locatelli R, Maruccia G, Campi F and DeMarco T Design of a HW/SW communication infrastructure for a heterogeneous reconfigurable processor Proceedings of the conference on Design, automation and test in Europe, (1352-1357)
  50. ACM
    Samman F, Hollstein T and Glesner M Multicast parallel pipeline router architecture for network-on-chip Proceedings of the conference on Design, automation and test in Europe, (1396-1401)
  51. Pavlidis V and Friedman E (2008). Three-dimensional Integrated Circuit Design, 10.5555/1457591, Online publication date: 25-Sep-2008.
  52. Tran X, Thonnart Y, Durupt J, Beroulle V and Robach C A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip, (149-158)
  53. Mathaikutty D and Shukla S (2008). MCF, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:7, (792-805), Online publication date: 1-Jul-2008.
  54. Atienza D, Angiolini F, Murali S, Pullini A, Benini L and De Micheli G (2008). Invited paper, Integration, the VLSI Journal, 41:3, (340-359), Online publication date: 1-May-2008.
  55. Lai H, Marculescu R, Savvides M and Chen T Communication-aware face detection using noc architecture Proceedings of the 6th international conference on Computer vision systems, (181-189)
  56. Pavlidis V and Friedman E (2018). 3-D topologies for networks-on-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:10, (1081-1090), Online publication date: 1-Oct-2007.
  57. ACM
    Lee H, Chang N, Ogras U and Marculescu R (2008). On-chip communication architecture exploration, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-20), Online publication date: 17-Aug-2007.
  58. ACM
    Jaros J, Ohlidal M and Dvorak V An evolutionary approach to collective communication scheduling Proceedings of the 9th annual conference on Genetic and evolutionary computation, (2037-2044)
  59. ACM
    Carara E, Moraes F and Calazans N Router architecture for high-performance NoCs Proceedings of the 20th annual conference on Integrated circuits and systems design, (111-116)
  60. Bjerregaard T, Stensgaard M and Sparsø J A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method Proceedings of the conference on Design, automation and test in Europe, (648-653)
  61. Pullini A, Angiolini F, Meloni P, Atienza D, Murali S, Raffo L, De Micheli G and Benini L NoC Design and Implementation in 65nm Technology Proceedings of the First International Symposium on Networks-on-Chip, (273-282)
  62. Grecu C, Ivanov A, Pande P, Jantsch A, Salminen E, Ogras U and Marculescu R Towards Open Network-on-Chip Benchmarks Proceedings of the First International Symposium on Networks-on-Chip
  63. Goossens K, Vermeulen B, Steeden R and Bennebroek M Transaction-Based Communication-Centric Debug Proceedings of the First International Symposium on Networks-on-Chip, (95-106)
  64. Pullini A, Angiolini F, Murali S, Atienza D, De Micheli G and Benini L (2007). Bringing NoCs to 65 nm, IEEE Micro, 27:5, (75-85), Online publication date: 1-Sep-2007.
  65. Rosing T, Mihic K and De Micheli G (2019). Power and reliability management of SoCs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:4, (391-403), Online publication date: 1-Apr-2007.
  66. Koch-Hofer C, Renaudin M, Thonnart Y and Vivet P ASC, a SystemC Extension for Modeling Asynchronous Systems, and Its Application to an Asynchronous NoC Proceedings of the First International Symposium on Networks-on-Chip, (295-306)
  67. Sharifi A and Sarbazi-Azad H Power consumption and performance analysis of 3D NoCs Proceedings of the 12th Asia-Pacific conference on Advances in Computer Systems Architecture, (209-219)
  68. ACM
    Lee H, Ogras U, Marculescu R and Chang N Design space exploration and prototyping for on-chip multimedia applications Proceedings of the 43rd annual Design Automation Conference, (137-142)
  69. ACM
    Ogras U and Marculescu R Prediction-based flow control for network-on-chip traffic Proceedings of the 43rd annual Design Automation Conference, (839-844)
  70. ACM
    Déharbe D and Medeiros S Aspect-oriented design in systemC Proceedings of the 19th annual symposium on Integrated circuits and systems design, (119-124)
  71. ACM
    Al Faruque M, Weiss G and Henkel J Bounded arbitration algorithm for QoS-supported on-chip communication Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, (76-81)
  72. Forsell M Reducing the associativity and size of step caches in CRCW operation Proceedings of the 20th international conference on Parallel and distributed processing, (261-261)
  73. Salminen E, Kangas T, Hämäläinen T, Riihimäki J, Lahtinen V and Kuusilinna K (2006). HIBI Communication Network for System-on-Chip, Journal of VLSI Signal Processing Systems, 43:2-3, (185-205), Online publication date: 1-Jun-2006.
  74. Bononi L and Concer N Simulation and analysis of network on chip architectures Proceedings of the conference on Design, automation and test in Europe: Designers' forum, (154-159)
  75. Ohlídal M, Jaroš J, Schwarz J and Dvořák V Evolutionary design of OAB and AAB communication schedules for interconnection networks Proceedings of the 2006 international conference on Applications of Evolutionary Computing, (267-278)
  76. ACM
    Bjerregaard T and Mahadevan S (2006). A survey of research and practices of Network-on-chip, ACM Computing Surveys (CSUR), 38:1, (1-es), Online publication date: 29-Jun-2006.
  77. Murali S, Coenen M, Radulescu A, Goossens K and De Micheli G A methodology for mapping multiple use-cases onto networks on chips Proceedings of the conference on Design, automation and test in Europe: Proceedings, (118-123)
  78. Bertozzi D, Jalabert A, Murali S, Tamhankar R, Stergiou S, Benini L and De Micheli G (2005). NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip, IEEE Transactions on Parallel and Distributed Systems, 16:2, (113-129), Online publication date: 1-Feb-2005.
  79. ACM
    Murali S, Benini L and De Micheli G Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (27-32)
  80. ACM
    Lin L, Wang C, Huang P, Chou C and Jou J Communication-driven task binding for multiprocessor with latency insensitive network-on-chip Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (39-44)
  81. Ogras U and Marculescu R Application-specific network-on-chip architecture customization via long-range link insertion Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (246-253)
  82. Bjerregaard T and Sparso J A Router Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless Network-on-Chip Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (1226-1231)
  83. Weber W, Chou J, Swarbrick I and Wingard D A Quality-of-Service Mechanism for Interconnection Networks in System-on-Chips Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (1232-1237)
  84. Blume H, von Sydow T, Becker D and Noll T Modeling noc architectures by means of deterministic and stochastic petri nets Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation, (374-383)
  85. Murali S and Micheli G An Application-Specific Design Methodology for STbus Crossbar Generation Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (1176-1181)
  86. Niemann J, Porrmann M and Ruckert U A Scalable Parallel SoC Architecture for Network Processors Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design, (311-313)
  87. ACM
    Leroy A, Marchal P, Shickova A, Catthoor F, Robert F and Verkest D Spatial division multiplexing Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (81-86)
  88. ACM
    Ogras U, Hu J and Marculescu R Key research problems in NoC design Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (69-74)
  89. ACM
    Zeferino C, Santo F and Susin A ParIS Proceedings of the 17th symposium on Integrated circuits and system design, (204-209)
  90. ACM
    Paulin P, Pilkington C, Langevin M, Bensoudane E and Nicolescu G Parallel programming models for a multi-processor SoC platform applied to high-speed traffic management Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (48-53)
  91. ACM
    Mullins R, West A and Moore S (2004). Low-Latency Virtual-Channel Routers for On-Chip Networks, ACM SIGARCH Computer Architecture News, 32:2, (188), Online publication date: 2-Mar-2004.
  92. ACM
    Marculescu R, Ogras U and Zamora N Computation and communication refinement for multiprocessor SoC design Proceedings of the 41st annual Design Automation Conference, (564-592)
  93. ACM
    Murali S and De Micheli G SUNMAP Proceedings of the 41st annual Design Automation Conference, (914-919)
  94. Jalabert A, Murali S, Benini L and De Micheli G ×pipesCompiler Proceedings of the conference on Design, automation and test in Europe - Volume 2
  95. Marculescu R, Pedram M and Henkel J Distributed Multimedia System Design Proceedings of the conference on Design, automation and test in Europe - Volume 2
  96. Paulin P, Pilkington C, Bensoudane E, Langevin M and Lyonnard D Application of a Multi-Processor SoC Platform to High-Speed Packet Forwarding Proceedings of the conference on Design, automation and test in Europe - Volume 3
  97. Mullins R, West A and Moore S Low-Latency Virtual-Channel Routers for On-Chip Networks Proceedings of the 31st annual international symposium on Computer architecture
  98. ACM
    Magarshack P and Paulin P System-on-chip beyond the nanometer wall Proceedings of the 40th annual Design Automation Conference, (419-424)
  99. Varatkar G and Marculescu R Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  100. Madsen J, Mahadevan S, Virk K and Gonzalez M Network-on-Chip Modeling for System-Level Multiprocessor Simulation Proceedings of the 24th IEEE International Real-Time Systems Symposium
Contributors
  • University of Vienna
  • University of Turku

Recommendations