Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints

Published: 07 May 2010 Publication History

Abstract

In this article, we investigate the Intellectual Property (IP) mapping problem that maps a given set of IP cores onto the tiles of a mesh-based Network-on-Chip (NoC) architecture such that the power consumption due to intercore communications is minimized. This IP mapping problem is considered under both bandwidth and latency constraints as imposed by the applications and the on-chip network infrastructure. By examining various applications' communication characteristics extracted from their respective communication trace graphs, two distinguishable connectivity templates are realized: the graphs with tightly coupled vertices and those with distributed vertices. These two templates are formally defined in this article, and different mapping heuristics are subsequently developed to map them. In general, tightly coupled vertices are mapped onto tiles that are physically close to each other while the distributed vertices are mapped following a graph partition scheme. Experimental results on both random and multimedia benchmarks have confirmed that the proposed template-based mapping algorithm achieves an average of 15% power savings as compared with MOCA, a fast greedy-based mapping algorithm. Compared with a branch-and-bound--based mapping algorithm, which produces near optimal results but incurs an extremely high computation cost, the proposed algorithm, due to its polynomial runtime complexity, can generate the results of almost the same quality with much less CPU time. As the on-chip network size increases, the superiority of the proposed algorithm becomes more evident.

References

[1]
Ascia, G., Catania, V., and Palesi, M. 2004. Multi-objective mapping for mesh-based NoC architectures. In Proceedings of the 2nd International Conference on Hardware/Software Co-Design and System Synthesis. IEEE, Los Alamitos, CA, 182--187.
[2]
Bertozzi, D., Jalabert, A., Murali, S., Tamhankar, R., Stergiou, S., Benini, L., and De Micheli, G. 2005. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Paral. Distrib. Syst. 16, 2, 113--129.
[3]
Chang, J. M. and Pedram, M. 2000. Codex-dp: Co-design of communicating systems using dynamic programming. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 19, 7, 732-744.
[4]
Dally, W. J. and Towles, B. 2001. Route packets, not wires: On-chip interconnection networks. In Proceedings of the 38th Design Automation Conference (DAC). ACM, New York, 684--689.
[5]
Dick, R. P., Rhodes, D. L., and Wolf, W. 1998. TGFF: Task graphs for free. In Proceedings of the 6th International Workshop on Hardware/Software Co-Design. ACM, New York, 97--101.
[6]
Duato, J., Yalamanchili, S., and Ni, L. M. 2003. Interconnection Networks: An Engineering Approach. Morgan Kaufmann Publishers, San Francisco, CA.
[7]
Garey, M. R. and Johnson, D. S. 1979. Computers and Intractability: A Guide to the Theory of NP-Completeness.WH Freeman, San Francisco, CA.
[8]
Hansson, A., Goossens, K., and Radulescu, A. 2005. A unified approach to constrained mapping and routing on network-on-chip architectures. In Proceedings of the 3rd International Conference on Hardware/Software Co-Design and System Synthesis. IEEE, Los Alamitos, CA, 75--80.
[9]
Harmanani, H. M. and Farah, R. 2008. A method for efficient mapping and reliable routing for NoC architectures with minimum bandwidth and area. In Proceedings of the Conference on Circuits and Systems and TAISA. IEEE, Los Alamitos, CA, 29--32.
[10]
Hendrickson, B. and Leland, R. 1995. The Chaco User's Guide: Version 2.0. Sandia National Laboratories, Albuquerque, NM.
[11]
Ho, R., Mai, K. W., and Horowitz, M. A. 2001. The future of wires. Proc. of IEEE. 89, 4, 490--504.
[12]
Hu, J. and Marculescu, R. 2003. Energy-aware mapping for tile-based NoC architectures under performance constraints. In Proceedings of the Design Automation Conference. ACM, New York, 233--239.
[13]
Hu, J. and Marculescu, R. 2005. Energy-and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 24, 4, 551--562.
[14]
ITRS. 2007. International technology roadmap for semiconductors. http://www.itrs.net/Links/2007ITRS/Home2007.htm.
[15]
Jantsch, A. and Tenhunen, H. 2003. Networks on Chip. Kluwer Academic Publishers, New York.
[16]
Kodi, A. K., Sarathy, A., and Louri, A. 2008. Adaptive channel buffers in on-chip interconnection networks: A power and performance analysis. IEEE Trans. Comput. 57, 9, 1169--1181.
[17]
Lin, H., Li, X., Tong, D. and Cheng, X. 2008. A low energy mapping and routing approach for network on chip with QoS guarantees. J. Comput. Aid. Des. Comput. Graphics. 20, 4, 425--431.
[18]
Lu, Z., Xia, L., and Jantsch, A. 2008. Cluster-based simulated annealing for mapping cores onto 2D mesh networks on chip. In Proceedings of the IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems. IEEE, Los Alamitos, CA, 1--6.
[19]
Marcon, C. A. M., Moreno, E. I., Calazans, N. L. V. and Moraes, F. G. 2007. Evaluation of algorithms for low energy mapping onto NoCs. In Proceedings of the IEEE International Symposium on Circuits and Systems. IEEE, Los Alamitos, CA, 389--392.
[20]
Mehran, A., Saeidi, S., Khademzadeh, A., and Afzali-Kusha, A. 2007. Spiral: A heuristic mapping algorithm for network on chip. IEICE Electron. Express 4, 15, 478--484.
[21]
Meindl, J. D. 2003. Interconnect opportunities for gigascale integration. IEEE Micro. 23, 3, 28--35.
[22]
Murali, S. and De Micheli, G. 2004. Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. ACM, New York, 896--901.
[23]
Noxim. Network-on-chip simulator. http://sourceforge.net/projects/noxim
[24]
Ogras, U. Y., Hu, J., and Marculescu, R. 2005. Key research problems in NoC design: a holistic perspective. In Proceedings of the 3rd International Conference on Hardware/Software Co-Design and System Synthesis. IEEE, Los Alamitos, CA, 69--74.
[25]
Pinto, A., Carloni, L., and Vincentelli, A. 2009. A methodology for constraint-driven synthesis of on-chip communications. IEEE Trans. Comput. Aid. Des. 28, 3, 364--377.
[26]
Srinivasan, K. and Chatha, K. S. 2005. A technique for low energy mapping and routing in network-on-chip architectures. In Proceedings of the International Symposium on Low Power Electronics and Design. ACM, New York, 387--392.
[27]
Wang, H., Zhu, X., Peh, L-S., and Malik, S. 2002. Orion: a power-performance simulator for interconnection networks. In Proceedings of the 35th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA, 294--305.
[28]
Zhou, W., Zhang, Y., and Mao, Z. 2006. Pareto-based multi-objective mapping IP cores onto NoC architectures. In Proceedings of the Asia Pacific Conference on Circuits and Systems. IEEE, Los Alamitos, CA, 331--334.

Cited By

View all
  • (2021)An IP Core Mapping Algorithm Based on Neural NetworksIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.303365829:1(189-202)Online publication date: Jan-2021
  • (2020)Genetic Node-Mapping Methods for Rapid Collective CommunicationsIEICE Transactions on Information and Systems10.1587/transinf.2018EDP7386E103.D:1(111-129)Online publication date: 1-Jan-2020
  • (2019)Energy efficient heuristic application mapping for 2-D mesh-based network-on-chipMicroprocessors and Microsystems10.1016/j.micpro.2018.10.00864(88-100)Online publication date: Feb-2019
  • Show More Cited By

Index Terms

  1. A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Architecture and Code Optimization
      ACM Transactions on Architecture and Code Optimization  Volume 7, Issue 1
      April 2010
      151 pages
      ISSN:1544-3566
      EISSN:1544-3973
      DOI:10.1145/1736065
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 07 May 2010
      Accepted: 01 September 2009
      Revised: 01 July 2009
      Received: 01 March 2009
      Published in TACO Volume 7, Issue 1

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. IP mapping
      2. Low power
      3. bandwidth and latency constraints
      4. network-on-chip (NoC)

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)61
      • Downloads (Last 6 weeks)4
      Reflects downloads up to 03 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2021)An IP Core Mapping Algorithm Based on Neural NetworksIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.303365829:1(189-202)Online publication date: Jan-2021
      • (2020)Genetic Node-Mapping Methods for Rapid Collective CommunicationsIEICE Transactions on Information and Systems10.1587/transinf.2018EDP7386E103.D:1(111-129)Online publication date: 1-Jan-2020
      • (2019)Energy efficient heuristic application mapping for 2-D mesh-based network-on-chipMicroprocessors and Microsystems10.1016/j.micpro.2018.10.00864(88-100)Online publication date: Feb-2019
      • (2018)Energy and performance-aware application mapping for inhomogeneous 3D networks-on-chipJournal of Systems Architecture10.1016/j.sysarc.2018.08.00289(103-117)Online publication date: Sep-2018
      • (2018)Revisiting Processor Allocation and Application Mapping in Future CMPs in Dark Silicon EraDark Silicon and Future On-chip Systems10.1016/bs.adcom.2018.04.001(35-81)Online publication date: 2018
      • (2017)Efficient Mapping of Applications for Future Chip-Multiprocessors in Dark Silicon EraACM Transactions on Design Automation of Electronic Systems10.1145/305520222:4(1-26)Online publication date: 15-Jun-2017
      • (2017)Multi-Application Mapping onto a Switch-Based Reconfigurable Network-on-Chip ArchitectureJournal of Circuits, Systems and Computers10.1142/S021812661750174226:11(1750174)Online publication date: Nov-2017
      • (2016)Communication-aware branch and bound with cluster-based latency-constraint mapping technique on network-on-chipThe Journal of Supercomputing10.1007/s11227-016-1732-972:6(2283-2309)Online publication date: 1-Jun-2016
      • (2015)Dynamic communications mapping in multi-tasks NoC-based heterogeneous MPSoCs platformInternational Journal of High Performance Systems Architecture10.1504/IJHPSA.2015.0728565:4(240-251)Online publication date: 1-Nov-2015
      • (2015)Designing Area Optimized Application-Specific Network-On-Chip Architectures while Providing Hard QoS GuaranteesPLOS ONE10.1371/journal.pone.012523010:4(e0125230)Online publication date: 21-Apr-2015
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Full Access

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media