Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1999946.1999957acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
research-article

Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures

Published: 01 May 2011 Publication History

Abstract

Three-dimensional IC technology offers greater device integration and shorter interlayer interconnects. In order to take advantage of these attributes, 3D stacked mesh architecture was proposed which is a hybrid between packet-switched network and a bus. Stacked mesh is a feasible architecture which provides both performance and area benefits, while suffering from inefficient intermediate buffers. In this paper, an efficient architecture to optimize system performance, power consumption, and reliability of stacked mesh 3D NoC is proposed. The mechanism benefits from a congestion-aware and bus failure tolerant routing algorithm called AdaptiveZ for vertical communication. In addition, we hybridize the proposed adaptive routing with available algorithms to mitigate the thermal issues by herding most of the switching activities closer to the heat sink. Our extensive simulations with synthetic and real benchmarks, including the one with an integrated videoconference application, demonstrate significant power, performance, and peak temperature improvements compared to a typical stacked mesh 3D NoC.

References

[1]
A. Jantsch, and H. Tenhunen, Network on Chip, Kluwer Academic Publishers, 2003.
[2]
A. W. Topol et al., "Three-Dimensional Integrated Circuits," IBM J. Research and Development, Vol. 50, No. 4/5, 2006, pp. 491--506.
[3]
A-M Rahmani et al., "An Efficient 3D NoC Architecture Using Bidirectional Bisynchronous Vertical Channels," in Proc. of ISVLSI 2010, pp. 452--453.
[4]
V. F. Pavlidis and E. G. Friedman, "3-D Topologies for Networks-on-Chip," IEEE Trans. on VLSI Systems., Vol. 15, No. 10, 2007, pp. 1081--1090.
[5]
A.-M. Rahmani et al., "A Stacked Mesh 3D NoC Architecture Enabling Congestion-Aware and Reliable Inter-Layer Communication," in Proc. of PDP 2011, pp. 423--430.
[6]
R. S. Patti, "Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs", in Proc of IEEE, Vol. 94, No. 6, 2006, pp. 1214--1224.
[7]
K. Puttaswamy and G. H. Loh, "Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors," in Proc. of HPCA 2007, pp. 193--204.
[8]
L. P. Carloni et al., "Networks-on-Chip in Emerging Interconnect Paradigms: Advantages and Challenges," in Proc. of NOCS 2009, pp. 93--109.
[9]
J. Kim et al., "A novel dimensionaly-decomposed router for on-chip communication in 3D architectures," in Proc. of ISCA 2007. pp. 138--149.
[10]
F. Li et al., "Design and Management of 3D Chip Multiprocessors Using Network-in-Memory," in Proc. of ISCA 2006, pp. 130--141.
[11]
A.-C. Hsieh et al., "TSV Redundancy: Architecture and Design Issues in 3D IC," in Proc. of DATE 2010, pp. 166--171.
[12]
Texas Instruments, "Flip Chip Ball Grid Array Package Reference Guide," Literature Number: SPRU811A, May 2005.
[13]
C. Zhu et al., "Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management," IEEE Trans. on CAD, Vol. 27, No. 8, 2008, pp. 1479--1492.
[14]
L. Shang et al., "Thermal Modeling, Characterization and Management of On-chip Networks," in Proc. of MICRO 2004, pp. 67--78.
[15]
A. Jain et al., "Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits," IEEE Trans. on Components and Packaging Technologies, Vol. 33, No. 1, 2010, pp. 56--63.
[16]
K. R. Vaddina et al., "Thermal Modelling of 3D Multicore Systems in a Flip-Chip Package," in Proc. of SOCC 2010, pp. 379--383.
[17]
K. Skadron et al., "Temperature Aware Microarchitecture," in Proc. of ISCA 2003, pp. 2--13.
[18]
C.-H. Chao et al., "Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems," in Proc of NOCS 2010, pp. 223--230.
[19]
Y. Hoskote et al., "A 5-GHz Mesh Interconnect for a Teraflops Processor," IEEE MICRO, Vol. 27, No. 5, 2007, pp. 51--61.
[20]
A. K. Coskun et al., "Dynamic Thermal Management in 3D Multicore Architectures," in Proc. of DATE 2009, pp. 1410--1415.
[21]
A.-M. Rahmani et al., "NED: A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-chips Using Negative Exponential Distribution," Journal of Low Power Electronics, Vol. 5, No. 3, 2009, pp. 396--405.
[22]
G. Guindani et al., "NoC Power Estimation at the RTL Abstraction Level," in Proc. of ISVLSI 2008, pp. 475--478.

Cited By

View all
  • (2023)Dynamic low power management technique for decision directed inter-layer communication in three dimensional wireless network on chipAutomatika10.1080/00051144.2023.226108864:4(1280-1295)Online publication date: 6-Oct-2023
  • (2021)Design and Evaluation of Implementation Impact on a Fault-Tolerant Network-on-Chip Router2021 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS)10.1109/DTIS53253.2021.9505053(1-6)Online publication date: 28-Jun-2021
  • (2019)Maximizing the Inner Resilience of a Network-on-Chip through Router Controllers DesignSensors10.3390/s1924541619:24(5416)Online publication date: 9-Dec-2019
  • Show More Cited By

Index Terms

  1. Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        NOCS '11: Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
        May 2011
        282 pages
        ISBN:9781450307208
        DOI:10.1145/1999946
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 01 May 2011

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. 3D NoC-bus hybrid architecture
        2. fault tolerance
        3. routing algorithm
        4. thermal management 3D ICs

        Qualifiers

        • Research-article

        Conference

        NOCS'11
        NOCS'11: International Symposium on Networks-on-Chips
        May 1 - 4, 2011
        Pennsylvania, Pittsburgh

        Acceptance Rates

        Overall Acceptance Rate 14 of 44 submissions, 32%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)3
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 03 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Dynamic low power management technique for decision directed inter-layer communication in three dimensional wireless network on chipAutomatika10.1080/00051144.2023.226108864:4(1280-1295)Online publication date: 6-Oct-2023
        • (2021)Design and Evaluation of Implementation Impact on a Fault-Tolerant Network-on-Chip Router2021 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS)10.1109/DTIS53253.2021.9505053(1-6)Online publication date: 28-Jun-2021
        • (2019)Maximizing the Inner Resilience of a Network-on-Chip through Router Controllers DesignSensors10.3390/s1924541619:24(5416)Online publication date: 9-Dec-2019
        • (2019)Analyzing the Error Propagation in a Parameterizable Network-on-Chip Router2019 IEEE Latin American Test Symposium (LATS)10.1109/LATW.2019.8704580(1-6)Online publication date: Mar-2019
        • (2018)3D-PIM NoCs with Multiple Subnetworks: A Performance and Power Evaluation2018 IEEE 37th International Performance Computing and Communications Conference (IPCCC)10.1109/PCCC.2018.8710763(1-8)Online publication date: Nov-2018
        • (2018)A Review on Hybrid Network on Chip2018 International Conference on Current Trends towards Converging Technologies (ICCTCT)10.1109/ICCTCT.2018.8551009(1-11)Online publication date: Mar-2018
        • (2018)A highly efficient dynamic router for application-oriented network on chipThe Journal of Supercomputing10.1007/s11227-018-2334-574:7(2905-2915)Online publication date: 1-Jul-2018
        • (2017)Performance Evaluation of Mesh-based 3D NoCsProceedings of the 10th International Workshop on Network on Chip Architectures10.1145/3139540.3139545(1-6)Online publication date: 14-Oct-2017
        • (2017)Design Methodology of Fault-Tolerant Custom 3D Network-on-ChipACM Transactions on Design Automation of Electronic Systems10.1145/305474522:4(1-20)Online publication date: 20-May-2017
        • (2016)A thermal driven genetic algorithm for three dimensional network-on-chip systemsProceedings of the Summer Computer Simulation Conference10.5555/3015574.3015621(1-8)Online publication date: 24-Jul-2016
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media