Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1874620.1874960acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Dynamic thermal management in 3D multicore architectures

Published: 20 April 2009 Publication History

Abstract

Technology scaling has caused the feature sizes to shrink continuously, whereas interconnects, unlike transistors, have not followed the same trend. Designing 3D stack architectures is a recently proposed approach to overcome the power consumption and delay problems associated with the interconnects by reducing the length of the wires going across the chip. However, 3D integration introduces serious thermal challenges due to the high power density resulting from placing computational units on top of each other. In this work, we first investigate how the existing thermal management, power management and job scheduling policies affect the thermal behavior in 3D chips. We then propose a dynamic thermally-aware job scheduling technique for 3D systems to reduce the thermal problems at very low performance cost. Our approach can also be integrated with power management policies to reduce energy consumption while avoiding the thermal hot spots and large temperature variations.

References

[1]
A. H. Ajami, et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. IEEE Transactions on CAD, 24(6):849--861, June 2005.
[2]
D. Atienza, et al. Reliability-aware design for nanometer-scale devices. In ASPDAC, 2008.
[3]
D. Atienza, et al. A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip. In DAC, 2006.
[4]
B. Black, et al. Die stacking (3d) microarchitecture. In MICRO, 2006.
[5]
P. Bose. Power-efficient microarchitectural choices at the early design stage. In Keynote Address on PACS, 2003.
[6]
D. Brooks, et al. Dynamic thermal management for high-performance microprocessors. In HPCA, 2001.
[7]
A. K. Coskun, et al. Temperature aware task scheduling in MPSoCs. In DATE, 2007.
[8]
J. Donald, et al. Techniques for multicore thermal management: Classification and new exploration. In ISCA, 2006.
[9]
M. Healy, et al. Multiobjective microarchitectural floorplanning for 2-d and 3-d ICs. IEEE Transactions on CAD, 26(1), Jan 2007.
[10]
M. Gomaa, et al. Heat-and-Run: leveraging SMT and CMP to manage power density through the operating system. In ASPLOS, 2004.
[11]
S. Heo, et al. Reducing power density through activity migration. In ISLPED, 2003.
[12]
W.-L. Hung, et al. Thermal-aware task allocation and scheduling for embedded systems. In DATE, 2005.
[13]
Failure mechanisms and models for semiconductor devices, JEDEC publication JEP122C. http://www.jedec.org.
[14]
P. Kapur, et al. Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. In DAC, pages 461--466, 2002.
[15]
H. Kufluoglu, et al. A computational model of NBTI and hot carrier injection time-exponents for MOSFET reliability. Journal of Computational Electronics, 3 (3):165--169, Oct. 2004.
[16]
A. Kumar, et al. HybDTM: a coordinated hardware-software approach for dynamic thermal management. In DAC, 2006.
[17]
C. J. Lasance. Thermally driven reliability issues in microelectronic systems: status-quo and challenges. Microelectronics Reliability, 43(12):1969--1974, Dec. 2003.
[18]
A. Leon, et al. A power-efficient high-throughput 32-thread SPARC processor. ISSCC, 2006.
[19]
R. McDougall, et al. Solaris Performance and Tools. Sun Microsystems Press, 2006.
[20]
K. Puttaswamy, et al. Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors. In HPCA, 2007.
[21]
T. S. Rosing, et al. Power and reliability management of SoCs. IEEE Transactions on VLSI, 15(4), April 2007.
[22]
K. Skadron, et al. Temperature-aware microarchitecture. In ISCA, 2003.
[23]
SLAMD Distributed Load Engine. www.slamd.com.
[24]
J. Srinivasan, et al. The case for lifetime reliability-aware microprocessors. In ISCA, 2004.
[25]
H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif. Full-chip leakage estimation considering power supply and temperature variations. In ISLPED, 2003.
[26]
C. Sun, L. Shang, and R. P. Dick. 3d multiprocessor system-on-chip thermal optimization. In CODES+ISSS, 2007.
[27]
D. Tarjan, S. Thoziyoor, and N. P. Jouppi. CACTI 4.0. Technical Report HPL-2006-86, HP Labs, Palo Alto, 2006.
[28]
C. Zhu, Z. Gu, L. Shang, R. P. Dick, and R. Joseph. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Transactions on CAD, 27(8):1479--1492, August 2008.

Cited By

View all
  • (2024)NeuroTAP: Thermal and Memory Access Pattern-Aware Data Mapping on 3D DRAM for Maximizing DNN PerformanceACM Transactions on Embedded Computing Systems10.1145/367717823:6(1-30)Online publication date: 11-Sep-2024
  • (2019)A Survey of Chip-level Thermal SimulatorsACM Computing Surveys10.1145/330954452:2(1-35)Online publication date: 30-Apr-2019
  • (2018)A Thermal Balance Oriented Task Mapping for CMPsProceedings of the 8th International Conference on Information Communication and Management10.1145/3268891.3268902(12-16)Online publication date: 22-Aug-2018
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '09: Proceedings of the Conference on Design, Automation and Test in Europe
April 2009
1776 pages
ISBN:9783981080155

Sponsors

  • EDAA: European Design Automation Association
  • ECSI
  • EDAC: Electronic Design Automation Consortium
  • SIGDA: ACM Special Interest Group on Design Automation
  • The IEEE Computer Society TTTC
  • The IEEE Computer Society DATC
  • The Russian Academy of Sciences: The Russian Academy of Sciences

Publisher

European Design and Automation Association

Leuven, Belgium

Publication History

Published: 20 April 2009

Check for updates

Qualifiers

  • Research-article

Conference

DATE '09
Sponsor:
  • EDAA
  • EDAC
  • SIGDA
  • The Russian Academy of Sciences

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)NeuroTAP: Thermal and Memory Access Pattern-Aware Data Mapping on 3D DRAM for Maximizing DNN PerformanceACM Transactions on Embedded Computing Systems10.1145/367717823:6(1-30)Online publication date: 11-Sep-2024
  • (2019)A Survey of Chip-level Thermal SimulatorsACM Computing Surveys10.1145/330954452:2(1-35)Online publication date: 30-Apr-2019
  • (2018)A Thermal Balance Oriented Task Mapping for CMPsProceedings of the 8th International Conference on Information Communication and Management10.1145/3268891.3268902(12-16)Online publication date: 22-Aug-2018
  • (2018)Thermal-aware task and data co-allocation for multi-processor system-on-chips with 3D-stacked memoriesProceedings of the 2018 Conference on Research in Adaptive and Convergent Systems10.1145/3264746.3264771(243-248)Online publication date: 9-Oct-2018
  • (2018)Performance and Thermal Tradeoffs for Energy-Efficient Monolithic 3D Network-on-ChipACM Transactions on Design Automation of Electronic Systems10.1145/322304623:5(1-25)Online publication date: 22-Aug-2018
  • (2018)Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile PlatformsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.277016326:3(544-557)Online publication date: 1-Mar-2018
  • (2017)A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core SystemsACM Computing Surveys10.1145/305726750:2(1-40)Online publication date: 11-Apr-2017
  • (2016)A thermal driven genetic algorithm for three dimensional network-on-chip systemsProceedings of the Summer Computer Simulation Conference10.5555/3015574.3015621(1-8)Online publication date: 24-Jul-2016
  • (2016)Opportunities of synergistically adjusting voltage-frequency levels of cores and DRAMs in CMPs with 3d-stacked DRAMs for efficient thermal controlACM SIGAPP Applied Computing Review10.1145/2924715.292471816:1(26-35)Online publication date: 14-Apr-2016
  • (2016)Hierarchical energy monitoring for task mapping in many-core systemsJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2016.01.00563:C(80-92)Online publication date: 1-Feb-2016
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media