Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
The PowerPC architecture: a specification for a new family of RISC processorsJuly 1994
Publisher:
  • Morgan Kaufmann Publishers Inc.
  • 340 Pine Street, Sixth Floor
  • San Francisco
  • CA
  • United States
ISBN:978-1-55860-316-5
Published:01 July 1994
Pages:
518
Skip Bibliometrics Section
Reflects downloads up to 06 Oct 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Yin L, Dong W, Liu W and Wang J Scheduling constraint based abstraction refinement for weak memory models Proceedings of the 33rd ACM/IEEE International Conference on Automated Software Engineering, (645-655)
  2. Liu W, De Poorter E, Hoebeke J, Tanghe E, Joseph W, Willemen P, Mehari M, Jiao X and Moerman I (2017). Assessing the Coexistence of Heterogeneous Wireless Technologies With an SDR-Based Signal Emulator, IEEE Transactions on Wireless Communications, 16:3, (1755-1766), Online publication date: 1-Mar-2017.
  3. ACM
    Gray K, Kerneis G, Mulligan D, Pulte C, Sarkar S and Sewell P An integrated concurrency and core-ISA architectural envelope definition, and test oracle, for IBM POWER multiprocessors Proceedings of the 48th International Symposium on Microarchitecture, (635-646)
  4. ACM
    Sartor J, Heirman W, Blackburn S, Eeckhout L and McKinley K Cooperative cache scrubbing Proceedings of the 23rd international conference on Parallel architectures and compilation, (15-26)
  5. Islam M and Muzahid A Characterizing real world bugs causing sequential consistency violations Proceedings of the 5th USENIX Conference on Hot Topics in Parallelism, (8-8)
  6. ACM
    Sarkar S, Sewell P, Alglave J, Maranget L and Williams D (2011). Understanding POWER multiprocessors, ACM SIGPLAN Notices, 46:6, (175-186), Online publication date: 4-Jun-2011.
  7. ACM
    Sarkar S, Sewell P, Alglave J, Maranget L and Williams D Understanding POWER multiprocessors Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, (175-186)
  8. ACM
    Yang X, Blackburn S, Frampton D, Sartor J and McKinley K Why nothing matters Proceedings of the 2011 ACM international conference on Object oriented programming systems languages and applications, (307-324)
  9. ACM
    Yang X, Blackburn S, Frampton D, Sartor J and McKinley K (2011). Why nothing matters, ACM SIGPLAN Notices, 46:10, (307-324), Online publication date: 18-Oct-2011.
  10. ACM
    Zhang L, Speight E, Rajamony R and Lin J Enigma Proceedings of the 24th ACM International Conference on Supercomputing, (159-168)
  11. ACM
    Wang K, Zhang Y, Wang H and Shen X (2008). Parallelization of IBM mambo system simulator in functional modes, ACM SIGOPS Operating Systems Review, 42:1, (71-76), Online publication date: 1-Jan-2008.
  12. Ung D and Cifuentes C (2018). Dynamic binary translation using run-time feedbacks, Science of Computer Programming, 60:2, (189-204), Online publication date: 1-Apr-2006.
  13. Arvind A and Maessen J Memory Model = Instruction Reordering + Store Atomicity Proceedings of the 33rd annual international symposium on Computer Architecture, (29-40)
  14. ACM
    Arvind A and Maessen J (2019). Memory Model = Instruction Reordering + Store Atomicity, ACM SIGARCH Computer Architecture News, 34:2, (29-40), Online publication date: 1-May-2006.
  15. ACM
    Mathiske B, Simon D and Ungar D The Project Maxwell assembler system Proceedings of the 4th international symposium on Principles and practice of programming in Java, (3-12)
  16. Bacon D and Shen X (2006). Braids and fibers, IBM Journal of Research and Development, 50:2/3, (209-221), Online publication date: 1-Mar-2006.
  17. Peterson J, Bohrer P, Chen L, Elnozahy E, Gheith A, Jewell R, Kistler M, Maeurer T, Malone S, Murrell D, Needel N, Rajamani K, Rinaldi M, Simpson R, Sudeep K and Zhang L (2006). Application of full-system simulation in exploratory system design and development, IBM Journal of Research and Development, 50:2/3, (321-332), Online publication date: 1-Mar-2006.
  18. Cantin J, Smith J, Lipasti M, Moshovos A and Falsafi B (2006). Coarse-Grain Coherence Tracking, IEEE Micro, 26:1, (70-79), Online publication date: 1-Jan-2006.
  19. Piñeiro J, Oberman S, Muller J and Bruguera J (2005). High-Speed Function Approximation Using a Minimax Quadratic Interpolator, IEEE Transactions on Computers, 54:3, (304-318), Online publication date: 1-Mar-2005.
  20. ACM
    Manson J, Pugh W and Adve S The Java memory model Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (378-391)
  21. ACM
    Manson J, Pugh W and Adve S (2019). The Java memory model, ACM SIGPLAN Notices, 40:1, (378-391), Online publication date: 12-Jan-2005.
  22. Vilayannur M, Nath P and Sivasubramaniam A Providing tunable consistency for a parallel file store Proceedings of the 4th conference on USENIX Conference on File and Storage Technologies - Volume 4, (2-2)
  23. Fang Z, Zhang L, Carter J, Cheng L and Parker M (2005). Fast synchronization on shared-memory multiprocessors, Journal of Parallel and Distributed Computing, 65:10, (1158-1170), Online publication date: 1-Oct-2005.
  24. Cantin J, Lipasti M and Smith J Improving Multiprocessor Performance with Coarse-Grain Coherence Tracking Proceedings of the 32nd annual international symposium on Computer Architecture, (246-257)
  25. ACM
    Cantin J, Lipasti M and Smith J (2019). Improving Multiprocessor Performance with Coarse-Grain Coherence Tracking, ACM SIGARCH Computer Architecture News, 33:2, (246-257), Online publication date: 1-May-2005.
  26. ACM
    Bohrer P, Peterson J, Elnozahy M, Rajamony R, Gheith A, Rockhold R, Lefurgy C, Shafi H, Nakra T, Simpson R, Speight E, Sudeep K, Van Hensbergen E and Zhang L (2004). Mambo, ACM SIGMETRICS Performance Evaluation Review, 31:4, (8-12), Online publication date: 1-Mar-2004.
  27. ACM
    Stefanović D, Hertz M, Blackburn S, McKinley K and Moss J (2019). Older-first garbage collection in practice, ACM SIGPLAN Notices, 38:2 supplement, (25-36), Online publication date: 15-Feb-2003.
  28. ACM
    Cintra M and Llanos D Toward efficient and robust software speculative parallelization on multiprocessors Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming, (13-24)
  29. ACM
    Cintra M and Llanos D (2003). Toward efficient and robust software speculative parallelization on multiprocessors, ACM SIGPLAN Notices, 38:10, (13-24), Online publication date: 1-Oct-2003.
  30. Sawada J and Hunt W (2019). Verification of FM9801, Formal Methods in System Design, 20:2, (187-222), Online publication date: 1-Mar-2002.
  31. ACM
    Stefanović D, Hertz M, Blackburn S, McKinley K and Moss J Older-first garbage collection in practice Proceedings of the 2002 workshop on Memory system performance, (25-36)
  32. Jain P, Devadas S, Engels D and Rudolph L Software-assisted cache replacement mechanisms for embedded systems Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (119-126)
  33. Bose P (2000). Testing for Function and Performance, Journal of Electronic Testing: Theory and Applications, 16:1-2, (29-48), Online publication date: 1-Feb-2000.
  34. ACM
    Maessen J and Shen X Improving the Java memory model using CRF Proceedings of the 15th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications, (1-12)
  35. ACM
    Maessen J and Shen X (2000). Improving the Java memory model using CRF, ACM SIGPLAN Notices, 35:10, (1-12), Online publication date: 1-Oct-2000.
  36. Wirthlin M, Morrison S, Graham P and Bray B Improving the Performance and Efficiency of an Adaptive Amplification Operation Using Configurable Hardware Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
  37. Park S and Dill D (1999). An Executable Specification and Verifier for Relaxed Memory Order, IEEE Transactions on Computers, 48:2, (227-235), Online publication date: 1-Feb-1999.
  38. Shen X, Arvind and Rudolph L Commit-reconcile & fences (CRF) Proceedings of the 26th annual international symposium on Computer architecture, (150-161)
  39. ACM
    Shen X, Arvind and Rudolph L (1999). Commit-reconcile & fences (CRF), ACM SIGARCH Computer Architecture News, 27:2, (150-161), Online publication date: 1-May-1999.
  40. ACM
    Onodera T and Kawachiya K A study of locking objects with bimodal fields Proceedings of the 14th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications, (223-237)
  41. ACM
    Onodera T and Kawachiya K (2019). A study of locking objects with bimodal fields, ACM SIGPLAN Notices, 34:10, (223-237), Online publication date: 1-Oct-1999.
  42. ACM
    Jayanti P A time complexity lower bound for randomized implementations of some shared objects Proceedings of the seventeenth annual ACM symposium on Principles of distributed computing, (201-210)
  43. Qiu X and Dubois M Options for dynamic address translation in COMAs Proceedings of the 25th annual international symposium on Computer architecture, (214-225)
  44. ACM
    Qiu X and Dubois M (1998). Options for dynamic address translation in COMAs, ACM SIGARCH Computer Architecture News, 26:3, (214-225), Online publication date: 1-Jun-1998.
  45. ACM
    Jacob B and Mudge T (2019). A look at several memory management units, TLB-refill mechanisms, and page table organizations, ACM SIGPLAN Notices, 33:11, (295-306), Online publication date: 1-Nov-1998.
  46. ACM
    Jacob B and Mudge T A look at several memory management units, TLB-refill mechanisms, and page table organizations Proceedings of the eighth international conference on Architectural support for programming languages and operating systems, (295-306)
  47. ACM
    Jacob B and Mudge T (1998). A look at several memory management units, TLB-refill mechanisms, and page table organizations, ACM SIGOPS Operating Systems Review, 32:5, (295-306), Online publication date: 1-Dec-1998.
  48. ACM
    Nelson K, Jain A and Bryant R Formal verification of a superscalar execution unit Proceedings of the 34th annual Design Automation Conference, (161-166)
  49. ACM
    Scott S Synchronization and communication in the T3E multiprocessor Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (26-36)
  50. ACM
    Monaco J, Holloway D and Raina R Functional verification methodology for the PowerPC 604 microprocessor Proceedings of the 33rd annual Design Automation Conference, (319-324)
  51. ACM
    Scott S (1996). Synchronization and communication in the T3E multiprocessor, ACM SIGOPS Operating Systems Review, 30:5, (26-36), Online publication date: 1-Dec-1996.
  52. ACM
    Scott S (2019). Synchronization and communication in the T3E multiprocessor, ACM SIGPLAN Notices, 31:9, (26-36), Online publication date: 1-Sep-1996.
  53. Smith J and Weiss S (2019). PowerPC 601 and Alpha 21064, Computer, 27:6, (46-58), Online publication date: 1-Jun-1994.
Contributors
  • IBM Research - Austin
  • IBM Thomas J. Watson Research Center

Reviews

Harry Frederick Jordan

As a manual for a family of processors, this book faces problems in exposition worse than those encountered in an ordinary computer manual. Any attempt to explain features in terms of underlying mechanisms is stalled by the desire to leave implementation details open to designers of future members of the family. Thus the reader should not expect insight into the rationale of the architecture but, as the title says, a specification. Coherence is further reduced by the separation of the specification into three “books.” Book 1 specifies the instruction set as seen by a non-privileged user programming for a flat address space without cache or virtual memory. Book 2 extends the specification to cache, shared storage, and timer. The treatment is still at the non-privileged level and specifies only instructions such as cache block invalidate and flush and timer reads, which can be executed by the applications programmer. Book 3 treats the architecture of the operating environment, specifying instructions whose execution is privileged. The discussion of virtual storage is contained in this book, as is the treatment of interrupts. The introduction notes the need for a Book 4 for each distinct implementation of the architecture. Little is said about the expected content of Book 4. The advantage of subject partitioning inherent in the division into three books is offset by the fact that the circular dependences present in any machine manual now travel between books. For example, a good overview of the total memory hierarchy is hard to get because the treatments of cache and virtual memory appear in different books. Because it is a joint project of several companies, the Power PC promises to have an important impact. While including many concepts developed in connection with RISC processors, the architecture specifies a rather complex instruction set computer. The number of variants of individual instructions is also large. The desire to be all things to all people is typified by not only having big-endian and little-endian operating modes but also including byte-reversed loads and stores. Initial compilers supplied with early systems employing the architecture will probably use only a narrow range of the possibilities offered by the instruction set. It will be interesting to see what measurements of instruction set usage over the life of the machine reveal about instruction use frequency. This book is very much a computer family specification manual. There is no hint of textbook about it. The index, the only one for the three books, is brief. For example, neither input, output, nor I/O appears, so it is hard to discover that I/O is considered to be strictly a part of the memory system. Like any other manual, this book should be used as a reference work, though finding the appropriate material may take some effort.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.

Recommendations