Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2492708.2493079acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Bloom filter-based dynamic wear leveling for phase-change RAM

Published: 12 March 2012 Publication History

Abstract

Phase Change RAM (PCM) is a promising candidate of emerging memory technology to complement or replace existing DRAM and NAND Flash memory. A key drawback of PCMs is limited write endurance. To address this problem, several static wear-leveling methods that change logical to physical address mapping periodically have been proposed. Although these methods have low space overhead, they suffer from unnecessary data migrations thereby failing to exploit the full lifetime potential of PCMs. This paper proposes a new dynamic wear-leveling method that reduces unnecessary data migrations by adopting a hot/cold swapping-based dynamic method. Compared with the conventional hot/cold swapping-based dynamic method, the proposed method requires only a small amount of space overhead by applying Bloom filters to the identification of hot and cold data. We simulate our method using SPEC2000 benchmark traces and compare with previous methods. Simulation results show that the proposed method reduces unnecessary data migrations by 58~92% and extends the memory lifetime by 2.18~2.30 times over previous methods with a negligible area overhead of 0.3%.

References

[1]
R. Freitas and W. Wilcke, "Storage-class memory: The next storage system technology," IBM Journal of R. and D., 52(4/5):439--447, 2008.
[2]
M. Qureshi, V. Srinivasan and J. Rivers, "Scalable high performance main memory system using phase-change memory technology," In ISCA-36, 2009.
[3]
B. Lee et al., "Architecting phase change memory as a scalable DRAM alternative," In ISCA-36, 2009.
[4]
International Technology Roadmap for Semiconductors, ITRS 2007.
[5]
B. H. Bloom, "Space/time trade-offs in hash coding with allowable errors," Tran. Commun. ACM, 13(7):422--426, 1970.
[6]
M. K. Qureshi et al., "Enhancing lifetime and security of pcm-based main memory with start-gap wear leveling," In MICRO-42, 2009.
[7]
N. H. Seong, D. H. Woo, and H.-H. S. Lee, "Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping," In ISCA-37, 2010.
[8]
P. Zhou, B. Zhao, J. Yang and Y. Zhang, "A durable and energe efficient main memory," In Proceeding of the International Symposium on Computer Architecture, 2009.
[9]
A. Ban and R. Hasharon, "Wear leveling of static areas in flash memory," U.S. Patent Number 6,732,221, 2004.
[10]
A. Ben-Aroya and S. Toledo, "Competitive analysis of flash-memory algorithms," In ESA'06: Proceedings of the 14th conference on Annual European Symposium, pages 100--111, 2006.
[11]
E. Gal and S. Toledo, "Algorithms and data structures for flash memories," ACM Comput. Surv., 37(2)138--163, 2005.
[12]
T. Kgil, D. Roberts and T. Mudge, "Improving nand flash based disk caches," In ISCA '08: Proceedings of the 35th annual international symposium on Computer architecture, pages 327--338, 2008.
[13]
J. Dong, L. Zhang, Y. Han, Y. Wang, and X. Li, "Wear rate leveling: lifetime enhancement of PRAM with endurance variation," In DAC 2011, pp. 972--977, June 5--10, 2011.
[14]
S. Li, et al., "McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures," Proc. MICRO, 2009.

Cited By

View all
  • (2017)Ouroboros Wear Leveling for NVRAM Using Hierarchical Block MigrationACM Transactions on Storage10.1145/313953013:4(1-31)Online publication date: 14-Nov-2017
  • (2017)Toss-up Wear LevelingProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062329(1-6)Online publication date: 18-Jun-2017
  • (2016)Differential Write-Conscious Software Design on Phase-Change MemoryACM Transactions on Design Automation of Electronic Systems10.1145/284261321:3(1-25)Online publication date: 19-Apr-2016
  • Show More Cited By

Index Terms

  1. Bloom filter-based dynamic wear leveling for phase-change RAM

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      DATE '12: Proceedings of the Conference on Design, Automation and Test in Europe
      March 2012
      1690 pages
      ISBN:9783981080186

      Sponsors

      Publisher

      EDA Consortium

      San Jose, CA, United States

      Publication History

      Published: 12 March 2012

      Check for updates

      Qualifiers

      • Research-article

      Conference

      DATE '12
      Sponsor:
      • EDAA
      • EDAC
      • SIGDA
      • The Russian Academy of Sciences
      DATE '12: Design, Automation and Test in Europe
      March 12 - 16, 2012
      Dresden, Germany

      Acceptance Rates

      Overall Acceptance Rate 518 of 1,794 submissions, 29%

      Upcoming Conference

      DATE '25
      Design, Automation and Test in Europe
      March 31 - April 2, 2025
      Lyon , France

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)3
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 08 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2017)Ouroboros Wear Leveling for NVRAM Using Hierarchical Block MigrationACM Transactions on Storage10.1145/313953013:4(1-31)Online publication date: 14-Nov-2017
      • (2017)Toss-up Wear LevelingProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062329(1-6)Online publication date: 18-Jun-2017
      • (2016)Differential Write-Conscious Software Design on Phase-Change MemoryACM Transactions on Design Automation of Electronic Systems10.1145/284261321:3(1-25)Online publication date: 19-Apr-2016
      • (2016)nvramdisk: A Transactional Block Device Driver for Non-Volatile RAMIEEE Transactions on Computers10.1109/TC.2015.242870865:2(589-600)Online publication date: 1-Feb-2016

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media