Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2555729.2555744acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Power-performance modeling on asymmetric multi-cores

Published: 29 September 2013 Publication History

Abstract

Asymmetric multi-core architectures have recently emerged as a promising alternative in a power and thermal constrained environment. They typically integrate cores with different power and performance characteristics, which makes mapping of workloads to appropriate cores a challenging task. Limited number of performance counters and heterogeneous memory hierarchy increase the difficulty in predicting the performance and power consumption across cores in commercial asymmetric multi-core architectures. In this work, we propose a software-based modeling technique that can estimate performance and power consumption of workloads for different core types. We evaluate the accuracy of our technique on ARM big.LITTLE asymmetric multi-core platform.

References

[1]
ARM infocenter. http://infocenter.arm.com/.
[2]
Gcc processor pipeline description, http://gcc.gnu.org/onlinedocs/gccint/processor-pipeline-description.html.
[3]
SPEC CPU Benchmarks. http://www.spec.org/benchmarks.html.
[4]
Nvidia. the benefits of multiple cpu cores in mobile devices, 2010. http://www.nvidia.com/content/PDF/tegra_white_papers/Benefits-of-Multi-core-CPUs-in-Mobile-Devices_Ver1.2.pdf.
[5]
ARM Ltd., 2011. http://www.arm.com/products/tools/development-boards/versatile-express/index.php.
[6]
Linaro Ubuntu release for Vexpress, November 2012. http://releases.linaro.org/13.02/ubuntu/vexpress/.
[7]
Michela Becchi and Patrick Crowley. Dynamic thread assignment on heterogeneous multiprocessor architectures. In Computing frontiers, pages 29--40. ACM, 2006.
[8]
M. Breughe, S. Eyerman, and L. Eeckhout. A mechanistic performance model for superscalar in-order processors. In ISPASS, pages 14--24, 2012.
[9]
Jason Cong and Bo Yuan. Energy-efficient scheduling on heterogeneous multi-core architectures. In Low Power Electronics and Design, pages 345--350. ACM, 2012.
[10]
Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, and James E Smith. A mechanistic performance model for superscalar out-of-order processors. TOCS, 27(2):3, 2009.
[11]
Stijn Eyerman, Kenneth Hoste, and Lieven Eeckhout. Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware. ISPASS, pages 216--226, 2011.
[12]
PJ Joseph, Kapil Vaswani, and Matthew J Thazhuthaveetil. A predictive performance model for superscalar processors. In International Symposium on Microarchitecture, pages 161--170, 2006.
[13]
Tejas S Karkhanis and James E Smith. A first-order superscalar processor model. In Computer Architecture, pages 338--349, 2004.
[14]
Tejas S Karkhanis and James E Smith. Automated design of application specific superscalar processors: an analytical approach. In SIGARCH, volume 35, pages 402--411, 2007.
[15]
David Koufaty, Dheeraj Reddy, and Scott Hahn. Bias scheduling in heterogeneous multi-core architectures. In Computer systems, pages 125--138. ACM, 2010.
[16]
Rakesh Kumar, Keith I Farkas, Norman P Jouppi, Parthasarathy Ranganathan, and Dean M Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In MICRO, pages 81--92, 2003.
[17]
Benjamin C Lee and David M Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. In SIGOPS Operating Systems Review, volume 40, pages 185--194, 2006.
[18]
Tong Li, Dan Baumberger, David A. Koufaty, and Scott Hahn. Efficient operating system scheduling for performance-asymmetric multi-core architectures. In ACM/IEEE conference on Supercomputing, 2007.
[19]
Larry McVoy and Carl Staelin. lmbench: Portable tools for performance analysis. In USENIX, pages 279--294, 1996.
[20]
Matlab Nonlinear Models. http://www.mathworks.com/help/stats/nonlinear-regression.html.
[21]
Juan Carlos Saez, Manuel Prieto, Alexandra Fedorova, and Sergey Blagodurov. A comprehensive scheduler for asymmetric multicore systems. In Computer systems, pages 139--152, 2010.
[22]
Kenzo Van Craeynest, Aamer Jaleel, Lieven Eeckhout, Paolo Narvaez, and Joel Emer. Scheduling heterogeneous multi-cores through performance impact estimation (PIE). ISCA, pages 213--224, 2012.
[23]
Sravanthi Kota Venkata, Ikkjin Ahn, Donghwan Jeon, Anshuman Gupta, Christopher Louie, Saturnino Garcia, Serge Belongie, and Michael Bedford Taylor. SD-VBS: The San Diego vision benchmark suite. In IISWC, 2009.

Cited By

View all
  • (2019)Energy-Efficient Runtime Management of Heterogeneous Multicores using Online ProjectionACM Transactions on Architecture and Code Optimization10.1145/329344615:4(1-26)Online publication date: 8-Jan-2019
  • (2018)Energy-Efficient Multicore Scheduling for Hard Real-Time SystemsACM Transactions on Embedded Computing Systems10.1145/329138717:6(1-26)Online publication date: 24-Dec-2018
  • (2018)ElasticCoreIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.275921926:2(249-261)Online publication date: 1-Feb-2018
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
CASES '13: Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
September 2013
247 pages
ISBN:9781479914005

Sponsors

Publisher

IEEE Press

Publication History

Published: 29 September 2013

Check for updates

Qualifiers

  • Research-article

Conference

ESWEEK'13
ESWEEK'13: Ninth Embedded System Week
September 29 - October 4, 2013
Quebec, Montreal, Canada

Acceptance Rates

CASES '13 Paper Acceptance Rate 21 of 68 submissions, 31%;
Overall Acceptance Rate 52 of 230 submissions, 23%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 13 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2019)Energy-Efficient Runtime Management of Heterogeneous Multicores using Online ProjectionACM Transactions on Architecture and Code Optimization10.1145/329344615:4(1-26)Online publication date: 8-Jan-2019
  • (2018)Energy-Efficient Multicore Scheduling for Hard Real-Time SystemsACM Transactions on Embedded Computing Systems10.1145/329138717:6(1-26)Online publication date: 24-Dec-2018
  • (2018)ElasticCoreIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.275921926:2(249-261)Online publication date: 1-Feb-2018
  • (2017)Energy-Aware Standby-Sparing on Heterogeneous Multicore SystemsProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062238(1-6)Online publication date: 18-Jun-2017
  • (2017)Towards completely fair scheduling on asymmetric single-ISA multicore processorsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2016.12.011102:C(115-131)Online publication date: 1-Apr-2017
  • (2017)Prediction complexity-based HEVC parallel processing for asymmetric multicoresMultimedia Tools and Applications10.1007/s11042-017-4413-776:23(25271-25284)Online publication date: 1-Dec-2017
  • (2017)Parallel HEVC decoding with asymmetric mobile multicoresMultimedia Tools and Applications10.1007/s11042-016-4269-276:16(17337-17352)Online publication date: 1-Aug-2017
  • (2016)SPARTAProceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis10.1145/2968456.2968459(1-10)Online publication date: 1-Oct-2016
  • (2016)A high-precision, hybrid GPU, CPU and RAM power model for generic multimedia workloadsProceedings of the 7th International Conference on Multimedia Systems10.1145/2910017.2910591(1-12)Online publication date: 10-May-2016
  • (2016)A Survey of Techniques for Architecting and Managing Asymmetric Multicore ProcessorsACM Computing Surveys10.1145/285612548:3(1-38)Online publication date: 8-Feb-2016
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media