Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/3488733.3488744guideproceedingsArticle/Chapter ViewAbstractPublication PageshotstorageConference Proceedingsconference-collections
research-article
Free access

Prefetching in hybrid main memory systems

Published: 13 July 2020 Publication History
  • Get Citation Alerts
  • Abstract

    The architecture of main memory has experienced a paradigm shift in recent years, with non volatile memory technologies (NVM) like Phase Change Memory (PCM) being incorporated into the hierarchy at the same level as DRAM. This transformation is being carried out by either splitting the memory address across two or more memory technologies, or using a faster technology with higher lifetimes, typically the DRAM, as a cache for the higher capacity, albeit slower main memory made up of a NVM.
    Design of such hybrid architectures remains an active area of research from the perspective of DRAM-as-a-cache design, since DRAM could quickly become the bottleneck, as cache lookups require multiple accesses for reading tag and data. In this paper, we augment the DRAM-as-a-cache model with a novel DRAM cache prefetcher that builds on state of the art Alloy Cache. The new DRAM cache architecture allows for prefetching data at both cacheline and page granularities from the NVM, and as a result, provides upto a maximum of 2× performance improvement over a state of the art baseline.

    References

    [1]
    DRAM Scaling Challenges Grow. https://semiengineering.com/dram-scaling-challenges-grow/. Accessed: 21-03-2020.
    [2]
    Frank Bellosa. When physical is not real enough. In Proceedings of the 11th Workshop on ACM SIGOPS European Workshop, EW 11, page 25-es, New York, NY, USA, 2004. Association for Computing Machinery.
    [3]
    Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. The parsec benchmark suite: Characterization and architectural implications. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pages 72-81, 2008.
    [4]
    Asif Ali Khan, Fazal Hameed, and Jeronimo Castrillon. Nvmain extension for multi-level cache systems. In Proceedings of the Rapido'18 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, pages 1-6, 2018.
    [5]
    Benjamin C. Lee, Engin Ipek, Onur Mutlu, and Doug Burger. Architecting phase change memory as a scalable dram alternative. In Proceedings of the 36th Annual International Symposium on Computer Architecture, ISCA '09, page 2-13, New York, NY, USA, 2009. Association for Computing Machinery.
    [6]
    Gabriel H. Loh and Mark D. Hill. Efficiently enabling conventional block sizes for very large die-stacked dram caches. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44, page 454-464, New York, NY, USA, 2011. Association for Computing Machinery.
    [7]
    Darsen Lu. Tutorial on emerging memory devices. Available at people.oregonstate.edu/~sllu/Micro_MT/presentations/micro16_emerging_mem_tutorial_darsen.pdf, 2016.
    [8]
    Sparsh Mittal and Jeffrey S Vetter. A survey of techniques for architecting dram caches. IEEE Transactions on Parallel and Distributed Systems, 27(6):1852-1863, 2015.
    [9]
    Moin Qureshi and Gabriel H Loh. Fundamental latency trade-offs in architecturing dram caches: Outperforming impractical sram-tags with a simple and practical design. In Proc. of the 45th Intl. Symp. on Microarchitecture, Vancouver, Canada, volume 10, 2012.
    [10]
    Daniel Sanchez and Christos Kozyrakis. Zsim: Fast and accurate microarchitectural simulation of thousand-core systems. ACM SIGARCH Computer architecture news, 41(3):475-486, 2013.
    [11]
    Shyamkumar Thoziyoor, N Muralimanohar, J Ahn, and N Jouppi. Cacti 6.5. hpl.hp.com, 2009.
    [12]
    Zi Yan, Ján Veselý, Guilherme Cox, and Abhishek Bhattacharjee. Hardware translation coherence for virtualized systems. In Proceedings of the 44th Annual International Symposium on Computer Architecture, ISCA '17, page 430-443, New York, NY, USA, 2017. Association for Computing Machinery.

    Index Terms

    1. Prefetching in hybrid main memory systems
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image Guide Proceedings
        HotStorage '20: Proceedings of the 12th USENIX Conference on Hot Topics in Storage and File Systems
        July 2020
        12 pages

        Sponsors

        • ORACLE
        • VMware

        Publisher

        USENIX Association

        United States

        Publication History

        Published: 13 July 2020

        Qualifiers

        • Research-article

        Acceptance Rates

        Overall Acceptance Rate 34 of 87 submissions, 39%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 31
          Total Downloads
        • Downloads (Last 12 months)15
        • Downloads (Last 6 weeks)5
        Reflects downloads up to 27 Jul 2024

        Other Metrics

        Citations

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media