Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
VLSI and Modern Signal ProcessingNovember 1984
Publisher:
  • Prentice Hall Professional Technical Reference
ISBN:978-0-13-942699-5
Published:01 November 1984
Pages:
448
Skip Bibliometrics Section
Reflects downloads up to 06 Oct 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Zhao B, Aydin H and Zhu D (2013). Shared recovery for energy efficiency and reliability enhancements in real-time applications with precedence constraints, ACM Transactions on Design Automation of Electronic Systems, 18:2, (1-21), Online publication date: 1-Mar-2013.
  2. Timm C, Görlich M, Weichert F, Marwedel P and Müller H Feedback-Based global instruction scheduling for GPGPU applications Proceedings of the 12th international conference on Computational Science and Its Applications - Volume Part I, (15-28)
  3. ACM
    Qiu M, Zhang L and Sha E ILP optimal scheduling for multi-module memory Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (277-286)
  4. Dal D and Mansouri N (2009). Power optimization with power islands synthesis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:7, (1025-1037), Online publication date: 1-Jul-2009.
  5. Dal D, Nunez A and Mansouri N Power Islands Proceedings of the 7th International Symposium on Quality Electronic Design, (165-170)
  6. O'Neil T and Sha E (2018). Time-constrained loop scheduling with minimal resources, Journal of Embedded Computing, 2:1, (103-117), Online publication date: 1-Jan-2006.
  7. Alonso P, Badía J and Vidal A (2018). An Efficient Parallel Algorithm to Solve Block-Toeplitz Systems, The Journal of Supercomputing, 32:3, (251-278), Online publication date: 1-Jun-2005.
  8. O'Neil T and Sha E (2018). Combining extended retiming and unfolding for rate-optimal graph transformation, Journal of VLSI Signal Processing Systems, 39:3, (273-293), Online publication date: 1-Mar-2005.
  9. Hariyama M, Aoyama T and Kameyama M (2005). Genetic Approach to Minimizing Energy Consumption of VLSI Processors Using Multiple Supply Voltages, IEEE Transactions on Computers, 54:6, (642-650), Online publication date: 1-Jun-2005.
  10. ACM
    Chabini N and Savaria Y Methods for optimizing register placement in synchronous circuits derived using software pipelining techniques Proceedings of the 14th international symposium on Systems synthesis, (209-214)
  11. Nourani M and Papachristou C (2019). Structural Fault Testing of Embedded Cores Using Pipelining, Journal of Electronic Testing: Theory and Applications, 15:1-2, (129-144), Online publication date: 1-Aug-1999.
  12. Bouguezal S, Chikouche D and Khellaf A (2019). An Efficient Algorithm for the Computation of the MultidimensionalDiscrete Fourier Transform, Multidimensional Systems and Signal Processing, 10:3, (275-304), Online publication date: 1-Jul-1999.
  13. ACM
    Kruse L, Schmidt E, Jochens G and Nebel W Lower and upper bounds on the switching activity in scheduled data flow graphs Proceedings of the 1999 international symposium on Low power electronics and design, (115-120)
  14. Parulkar I, Gupta S and Breuer M (1998). Estimation of BIST Resources During High-Level Synthesis, Journal of Electronic Testing: Theory and Applications, 13:3, (221-237), Online publication date: 1-Dec-1998.
  15. Nourani M and Papachristou C A bypass scheme for core-based system fault testing Proceedings of the conference on Design, automation and test in Europe, (979-980)
  16. Mertzios B and Venetsanopoulos A (2019). Fast Implementation of 3-D Digital Filters Via SystolicArray Processors, Multidimensional Systems and Signal Processing, 8:3, (335-349), Online publication date: 1-Jul-1997.
  17. Landwehr B and Marwedel P A new optimization technique for improving resource exploitation and critical path minization Proceedings of the 10th international symposium on System synthesis, (65-72)
  18. ACM
    Nourani M, Carletta J and Papachristou C A scheme for integrated controller-datapath fault testing Proceedings of the 34th annual Design Automation Conference, (546-551)
  19. ACM
    Chang D, Lee M, Marek-Sadowska M, Aikyo T and Cheng K A test synthesis approach to reducing BALLAST DFT overhead Proceedings of the 34th annual Design Automation Conference, (466-471)
  20. Mandal C, Chakrabarti P and Ghose S Design Space Exploration for Data Path Synthesis Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  21. Narayan S and Gajski D Rapid performance estimation for system design Proceedings of the conference on European design automation, (206-211)
  22. Bennour I and Albouhamid E (1996). Lower bounds on the iteration time and the initiation interval of functional pipelining and loop folding, Design Automation for Embedded Systems, 1:4, (333-355), Online publication date: 1-Oct-1996.
  23. Shu J, Wilson T and Banerji D Instruction-Set Matching and GA-based Selection for Embedded-Processor Code Generation Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  24. ACM
    Papachristou C, Spining M and Nourani M A multiple clocking scheme for low power RTL design Proceedings of the 1995 international symposium on Low power design, (27-32)
  25. Piazza F, Di Claudio E and Orlandi G (1995). Fast Combinatorial RNS Processors for DSP Applications, IEEE Transactions on Computers, 44:5, (624-633), Online publication date: 1-May-1995.
  26. ACM
    Harris I and Orailoglu A Microarchitectural synthesis of VLSI designs with high test concurrency Proceedings of the 31st annual Design Automation Conference, (206-211)
  27. Landwehr B, Marwedel P and Dömer R OSCAR Proceedings of the conference on European design automation, (90-95)
  28. Leupers R, Schenk W and Marwedel P Retargetable assembly code generation by bootstrapping Proceedings of the 7th international symposium on High-level synthesis, (88-93)
  29. Ellis K and Alexander W Block Data Processing Using Commercial Processors Proceedings of the 1994 International Conference on Parallel Processing - Volume 01, (232-235)
  30. ACM
    Nourani M and Papachristou C A layout estimation algorithm for RTL datapaths Proceedings of the 30th international Design Automation Conference, (285-291)
  31. ACM
    Lee T, Jha N and Wolf W Behavioral synthesis of highly testable data paths under the non-scan and partial scan environments Proceedings of the 30th international Design Automation Conference, (292-297)
  32. ACM
    Chao L and LaPaugh A Rotation scheduling Proceedings of the 30th international Design Automation Conference, (566-572)
  33. Narayan S and Gajski D System clock estimation based on clock slack minimization Proceedings of the conference on European design automation, (66-71)
  34. Jiang Y, Lee T, Hwang T and Lin Y Performance-driven interconnection optimization for microarchitecture synthesis Proceedings of the conference on European design automation, (118-123)
  35. Nourani M, Papachristou C and Takefuji Y A neural network based algorithm for the scheduling problem in high-level synthesis Proceedings of the conference on European design automation, (341-346)
  36. Lobo D and Pangrle B Generating pipelined datapaths using reduction techniques to shorten critical paths Proceedings of the conference on European design automation, (390-395)
  37. Lee T, Wu A, Gajski D and Lin Y An effective methodology for functional pipelining Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (230-233)
  38. Komi H, Yamada S and Fukunaga K A scheduling method by stepwise expansion in high-level synthesis Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (234-237)
  39. Verhaegh W, Lippens P, Aarts E, Korst J, van der Werf A and van Meerbergen J Efficiency improvements for force-directed scheduling Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (286-291)
  40. Ramachandran C, Kurdahi F, Gajski D, Wu A and Chaiyakul V Accurate layout area and delay modeling for system level design Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (355-361)
  41. ACM
    Hwang C, Hsu Y and Lin Y Optimum and heuristic data path scheduling under resource constraints Proceedings of the 27th ACM/IEEE Design Automation Conference, (65-70)
  42. ACM
    Cloutier R and Thomas D The combination of scheduling, allocation, and mapping in a single algorithm Proceedings of the 27th ACM/IEEE Design Automation Conference, (71-76)
  43. ACM
    Papachristou C and Konuk H A linear program driven scheduling and allocation method followed by an interconnect optimization algorithm Proceedings of the 27th ACM/IEEE Design Automation Conference, (77-83)
  44. ACM
    Potasman R, Lis J, Nicolau A and Gajski D Percolation based synthesis Proceedings of the 27th ACM/IEEE Design Automation Conference, (444-449)
  45. ACM
    Huang C, Chen Y, Lin Y and Hsu Y Data path allocation based on bipartite weighted matching Proceedings of the 27th ACM/IEEE Design Automation Conference, (499-504)
  46. ACM
    Papachristou C, Chiu S and Harmanani H A data path synthesis method for self-testable designs Proceedings of the 28th ACM/IEEE Design Automation Conference, (378-384)
  47. ACM
    Raghavendra V and Lursinsap C Automated micro-roll-back self-recovery synthesis Proceedings of the 28th ACM/IEEE Design Automation Conference, (385-390)
  48. ACM
    Park I and Kyung C Fast and near optimal scheduling in automatic data path synthesis Proceedings of the 28th ACM/IEEE Design Automation Conference, (680-685)
  49. ACM
    Hwang C, Hsu Y and Lin Y Scheduling for functional pipelining and loop winding Proceedings of the 28th ACM/IEEE Design Automation Conference, (764-769)
  50. ACM
    Lobo D and Pangrle B Redundant operator creation Proceedings of the 28th ACM/IEEE Design Automation Conference, (775-778)
  51. Verhaegh W, Aarts E, Korst J and Lippens P Improved force-directed scheduling Proceedings of the conference on European design automation, (430-435)
  52. Chang P and Lee C (2019). A Decomposition Approach for Balancing Large-Scale Acyclic Data Flow Graphs, IEEE Transactions on Computers, 39:1, (34-46), Online publication date: 1-Jan-1990.
  53. Hemani A and Postula A A neural net based self organising scheduling algorithm Proceedings of the conference on European design automation, (136-140)
  54. Safir A and Zavidovique B Towards a global solution to high level synthesis problems Proceedings of the conference on European design automation, (283-288)
  55. ACM
    Klausewitz R and Willis S An Ada design for the windowing, tasking, and processing of multi-dimensional large arrays Proceedings of the sixth Washington Ada symposium on Ada, (145-148)
  56. ACM
    Paulin P and Knight J Scheduling and binding algorithms for high-level synthesis Proceedings of the 26th ACM/IEEE Design Automation Conference, (1-6)
  57. Paulin P and Knight J (1989). Algorithms for High-Level Synthesis, IEEE Design & Test, 6:6, (18-31), Online publication date: 1-Nov-1989.
  58. Borriello G and Detjens E High-level synthesis Proceedings of the 25th ACM/IEEE Design Automation Conference, (477-482)
  59. Gebotys C and Elmasry M VLSI design synthesis with testability Proceedings of the 25th ACM/IEEE Design Automation Conference, (16-21)
  60. ACM
    Paulin P and Knight J Force-directed scheduling in automatic data path synthesis Proceedings of the 24th ACM/IEEE Design Automation Conference, (195-202)
Contributors
  • Princeton University
  • Stanford University

Recommendations