Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/603095.603154acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Partition-based decision heuristics for image computation using SAT and BDDs

Published: 04 November 2001 Publication History

Abstract

Methods based on Boolean satisfiability (SAT) typically use a Conjunctive Normal Form (CNF) representation of the Boolean formula, and exploit the structure of the given problem through use of various decision heuristics and implication methods. In this paper, we propose a new decision heuristic based on separator-set induced partitioning of the underlying CNF graph. It targets those variables whose choice generates clause partitions with disjoint variable supports. This can potentially improve performance of SAT applications by decomposing the problem dynamically within the search. In the context of a recently proposed image computation method combining SAT and BDDs, this results in simpler BDD subproblems. We provide algorithms for CNF partitioning -- one based on a clause-variable dependency matrix, and another based on standard hypergraph partitioning techniques, and also for the use of partitioning information in decision heuristics for SAT. We demonstrate the effectiveness of our proposed partition-based heuristic with practical results for reachability analysis of benchmark sequential circuits.

References

[1]
P. A. Abdulla, P. Bjesse, and N. Een. Symbolic reachability analysis based on SAT-solvers. In Tools and Algorithms for the Analysis and Construction of Systems (TACAS), 2000.]]
[2]
E. Amir and S. McIlraith. Partition-based logical reasoning. In Proc. 7th International Conference on Principles of Knowledge Representation and Reasoning, 2000.]]
[3]
A. Biere, A. Cimatti, E. M. Clarke, and Y. Zhu. Symbolic model checking without BDDs. In Tools and Algorithms for the Analysis and Construction of Systems (TACAS), volume 1579 of LNCS, 1999.]]
[4]
R. K. Brayton et al. VIS: A system for verification and synthesis. In R. Alur and T. Henzinger, editors, Proc. Int. Conf. on Comput.-Aided Verification, volume 1102 of LNCS, pages 428-432, June 1996.]]
[5]
R. E. Bryant. Graph-based algorithms for Boolean function manipulation. IEEE Tran. on Comp., C-35(8):677-691, Aug. 1986.]]
[6]
J. Burch and V. Singhal. Tight integration of combinational verification methods. In Proc. Int. Conf. on Comput.-Aided Design, pages 570-576, 1998.]]
[7]
J. R. Burch, E. M. Clarke, D. E. Long, K. L. McMillan, and D. L. Dill. Symbolic model checking for sequential circuit verification. IEEE Tran. on CAD of Integrated Circ. and Sys., 13(4):401-424, Apr. 1994.]]
[8]
G. Cabodi, P. Camurati, and S. Quer. Biasing symbolic search by means of dynamic activity profiles. In Proc. Conference on Design Automation and Test Europe (DATE), Mar. 2001.]]
[9]
P. Chauhan, E. M. Clarke, S. Jha, J. Kukula, T. Shiple, H. Veith, and D. Wang. Non-linear quantification scheduling in image computation. In Proc. Int. Conf. on Comput.-Aided Design, Nov. 2001.]]
[10]
E. M. Clarke, O. Grumberg, and D. Peled. Model Checking. MIT Press, 1999.]]
[11]
O. Coudert, C. Berthet, and J. C. Madre. Verification of synchronous sequential machines using symbolic execution. In Proc. Int. Workshop on Automatic Verification Methods for Finite State Systems, volume 407 of LNCS, pages 365-373. Springer-Verlag, June 1989.]]
[12]
A. Gupta and P. Ashar. Integrating a Boolean satisfiability checker and BDDs for combinational verification. In Proc. VLSI Design Conference, Jan. 1998.]]
[13]
A. Gupta, Z. Yang, A. Gupta, and P. Ashar. SAT-based image computation with application in reachability analysis. In Proc. Conference on Formal Methods in Computer-Aided Design, Nov. 2000.]]
[14]
G. Karypis et al. hMETIS: Serial hypergraph and circuit partitioning. http://www-users.cs.umn.edu/~karypis/metis/hmetis.]]
[15]
J. Kukula. When is SAT hard? Presented at Dagstuhl Seminar Design and Test on BDDs versus SAT, Schloss Dagstuhl, Germany, Jan. 2001.]]
[16]
T. Lengauer. Combinatorial Algorithms for Integrated Circuit Layout. John Wiley & Sons, England, 1990.]]
[17]
J. P. Marques-Silva. Search Algorithms for Satisfiability Problems in Combinational Switching Circuits. PhD thesis, EECS Department, University of Michigan, May 1995.]]
[18]
J. P. Marques-Silva and A. L. Oliveira. Improving satisfiability algorithms with dominance and partitioning. In IEEE/ACM International Workshop on Logic Synthesis, May 1997.]]
[19]
J. P. Marquez-Silva. Grasp package. http://algos.inesc.pt/~jpms/software.html.]]
[20]
I.-H. Moon, G. Hachtel, and F. Somenzi. Border-block triangular form and conjunction schedule in image computation. In Proc. Conference on Formal Methods in Computer-Aided Design, Nov. 2000.]]
[21]
I.-H. Moon, J. Kukula, K. Ravi, and F. Somenzi. To split or to conjoin: The question in image computation. In Proc. Design Automation Conf., pages 23-28, June 2000.]]
[22]
M. Moskewicz, C. Madigan, Y. Zhao, L. Zhang, and S. Malik. Chaff: Engineering an efficient SAT solver. In Proc. Design Automation Conf., June 2001.]]
[23]
V. Paruthi and A. Kuehlmann. Equivalence checking combining a structural SAT-Solver, BDDs and simulation. In Proc. Int. Conf. on Comput. Design, Oct. 2000.]]
[24]
M. R. Prasad, P. Chong, and K. Keutzer. Why is ATPG easy? In Proc. Design Automation Conf., pages 22-28, 1999.]]
[25]
R. K. Ranjan, A. Aziz, R. K. Brayton, B. F. Plessier, and C. Pixley. Efficient BDD algorithms for FSM synthesis and verification. In International Workshop for Logic Synthesis, May 1995. Lake Tahoe, CA.]]
[26]
M. Sheeran, S. Singh, and G. Stalmarck. Checking safety properties using induction and a SAT-Solver. In Proc. Conference on Formal Methods in Computer-Aided Design, Nov. 2000.]]
[27]
F. Somenzi et al. CUDD: University of Colorado Decision Diagram Package. http://vlsi.colorado.edu/~fabio/CUDD/.]]
[28]
H. Zhang. SATO: an efficient propositional prover. In International Conference on Automated Deduction, number 1249 in LNAI, pages 272-275, 1997.]]

Cited By

View all
  • (2006)SAT-Based verification methods and applications in hardware verificationProceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems10.1007/11757283_5(108-143)Online publication date: 22-May-2006
  • (2005)Forward image computation with backtracing ATPG and incremental state-set constructionProceedings of the 15th ACM Great Lakes symposium on VLSI10.1145/1057661.1057723(254-259)Online publication date: 17-Apr-2005
  • (2005)A survey of recent advances in SAT-based formal verificationInternational Journal on Software Tools for Technology Transfer (STTT)10.1007/s10009-004-0183-47:2(156-173)Online publication date: 1-Apr-2005
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '01: Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
November 2001
656 pages
ISBN:0780372492
  • Conference Chair:
  • Rolf Ernst

Sponsors

Publisher

IEEE Press

Publication History

Published: 04 November 2001

Check for updates

Qualifiers

  • Article

Conference

ICCAD01
Sponsor:
ICCAD01: International Conference on Computer Aided Design
November 4 - 8, 2001
California, San Jose

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2006)SAT-Based verification methods and applications in hardware verificationProceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems10.1007/11757283_5(108-143)Online publication date: 22-May-2006
  • (2005)Forward image computation with backtracing ATPG and incremental state-set constructionProceedings of the 15th ACM Great Lakes symposium on VLSI10.1145/1057661.1057723(254-259)Online publication date: 17-Apr-2005
  • (2005)A survey of recent advances in SAT-based formal verificationInternational Journal on Software Tools for Technology Transfer (STTT)10.1007/s10009-004-0183-47:2(156-173)Online publication date: 1-Apr-2005
  • (2005)Achieving speedups in distributed symbolic reachability analysis through asynchronous computationProceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods10.1007/11560548_12(129-145)Online publication date: 3-Oct-2005
  • (2005)Variable ordering for efficient SAT search by analyzing constraint-variable dependenciesProceedings of the 8th international conference on Theory and Applications of Satisfiability Testing10.1007/11499107_33(415-422)Online publication date: 19-Jun-2005
  • (2004)A Novel SAT All-Solutions Solver for Efficient Preimage ComputationProceedings of the conference on Design, automation and test in Europe - Volume 110.5555/968878.969034Online publication date: 16-Feb-2004
  • (2004)Verification of large scale nano systems with unreliable nano devicesNano, quantum and molecular computing10.5555/1137939.1137957(323-351)Online publication date: 1-Jan-2004
  • (2004)Efficient reachability checking using sequential SATProceedings of the 2004 Asia and South Pacific Design Automation Conference10.5555/1015090.1015195(418-423)Online publication date: 27-Jan-2004
  • (2004)Implicit pseudo boolean enumeration algorithms for input vector controlProceedings of the 41st annual Design Automation Conference10.1145/996566.996774(767-772)Online publication date: 7-Jun-2004
  • (2004)Guiding CNF-SAT search via efficient constraint partitioningProceedings of the 2004 IEEE/ACM International conference on Computer-aided design10.1109/ICCAD.2004.1382629(498-501)Online publication date: 7-Nov-2004
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media