Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/832301.836666guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

Efficient Seed Utilization for Reseeding based Compression

Published: 27 April 2003 Publication History

Abstract

The conventional LFSR reseeding technique for test datacompression generates one test pattern from each LFSRseed. The seed size is determined by the maximumnumber of specified bits in a test pattern belonging to agiven test set. However, for most practical designs themajority of test patterns have significantly fewerspecified bits compared to the maximum. This limits theamount of compression that can be achieved withconventional reseeding. This paper presents a newreseeding technique that overcomes this problem bygenerating a single test pattern from multiple seeds andmultiple test patterns from a single seed. The newreseeding technique is applied to two industrial designsresulting in significant reduction in tester memoryrequirement and test application time compared to theconventional reseeding technique.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide Proceedings
VTS '03: Proceedings of the 21st IEEE VLSI Test Symposium
April 2003
ISBN:0769519245

Publisher

IEEE Computer Society

United States

Publication History

Published: 27 April 2003

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2017)A New Paradigm for Synthesis of Linear DecompressorsProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062190(1-6)Online publication date: 18-Jun-2017
  • (2017)Temperature and data size trade-off in dictionary based test data compressionIntegration, the VLSI Journal10.1016/j.vlsi.2016.11.00257:C(20-33)Online publication date: 1-Mar-2017
  • (2011)Capture-power-aware test data compression using selective encodingIntegration, the VLSI Journal10.1016/j.vlsi.2011.01.00544:3(205-216)Online publication date: 1-Jun-2011
  • (2010)Correlation-based rectangular encodingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.202588218:10(1483-1492)Online publication date: 1-Oct-2010
  • (2009)LFSR-based test-data compression with self-stoppable seedsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874975(1482-1487)Online publication date: 20-Apr-2009
  • (2009)Integrated LFSR reseeding, test-access optimization, and test scheduling for core-based system-on-chipIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2009.202173128:8(1251-1264)Online publication date: 1-Aug-2009
  • (2009)Deviation-based LFSR reseeding for test-data compressionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2008.200916628:2(259-271)Online publication date: 1-Feb-2009
  • (2008)State skip LFSRsProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403488(474-479)Online publication date: 10-Mar-2008
  • (2008)Multilevel-Huffman test-data compression for IP cores with multiple scan chainsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200044816:7(926-931)Online publication date: 1-Jul-2008
  • (2007)SoC testing using LFSR reseeding, and scan-slice-based TAM optimization and test schedulingProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266410(201-206)Online publication date: 16-Apr-2007
  • Show More Cited By

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media