Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
Computer Organization and DesignAugust 2004
Publisher:
  • Morgan Kaufmann Publishers Inc.
  • 340 Pine Street, Sixth Floor
  • San Francisco
  • CA
  • United States
ISBN:978-1-55860-604-3
Published:01 August 2004
Skip Bibliometrics Section
Reflects downloads up to 06 Oct 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Sung W, Lee D and Hwang K Massively parallel computation of linear recurrence equations with graphics processing units Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, (10-17)
  2. ACM
    Amanollahi S and Jaberipur G (2018). Extended Redundant-Digit Instruction Set for Energy-Efficient Processors, ACM Transactions on Embedded Computing Systems, 17:3, (1-21), Online publication date: 2-Jun-2018.
  3. ACM
    Chen A, Bhat D and Gehringer E An extensible simulator for bus- and directory-based cache coherence Proceedings of the Workshop on Computer Architecture Education, (1-7)
  4. ACM
    Barbalace A, Sadini M, Ansary S, Jelesnianski C, Ravichandran A, Kendir C, Murray A and Ravindran B Popcorn Proceedings of the Tenth European Conference on Computer Systems, (1-16)
  5. Casu M and Mantovani P (2015). A synchronous latency-insensitive RISC for better than worst-case design, Integration, the VLSI Journal, 48:C, (72-82), Online publication date: 1-Jan-2015.
  6. Stanley T, Fairclough D, deBry R and Kamali-Sarvestani R (2013). Using a simple emulated computer to facilitate understanding in introductory computer programming and computer organization/architecture classes, Journal of Computing Sciences in Colleges, 29:2, (60-66), Online publication date: 1-Dec-2013.
  7. ACM
    Black M and Waggoner N Emumaker86 Proceeding of the 44th ACM technical symposium on Computer science education, (323-328)
  8. ACM
    Kinsy M, Pellauer M and Devadas S Heracles Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (125-134)
  9. ACM
    Basu A, Hill M and Swift M (2012). Reducing memory reference energy with opportunistic virtual caching, ACM SIGARCH Computer Architecture News, 40:3, (297-308), Online publication date: 5-Sep-2012.
  10. Basu A, Hill M and Swift M Reducing memory reference energy with opportunistic virtual caching Proceedings of the 39th Annual International Symposium on Computer Architecture, (297-308)
  11. Ďurfina L, Křoustek J, Zemek P, Kolář D, Hruška T, Masařík K and Meduna A Advanced static analysis for decompilation using scattered context grammars Proceedings of the 13th IASME/WSEAS international conference on Mathematical Methods and Computational Techniques in Electrical Engineering conference on Applied Computing, (164-169)
  12. Boito F, Kassick R and Navaux P (2019). The impact of applications' I/O strategies on the performance of the Lustre parallel file system, International Journal of High Performance Systems Architecture, 3:2/3, (122-136), Online publication date: 1-May-2011.
  13. ACM
    Youn J, Lee J, Paek Y, Kim J and Cho J Implementing dynamic implied addressing mode for multi-output instructions Proceedings of the 2010 international conference on Compilers, architectures and synthesis for embedded systems, (87-96)
  14. ACM
    Ngassam E, Kourie D and Watson B A framework for benchmarking FA-based string recognizers Proceedings of the 2010 Annual Research Conference of the South African Institute of Computer Scientists and Information Technologists, (220-230)
  15. ACM
    Warford J and Dimpfl C The pep/8 memory tracer Proceedings of the 41st ACM technical symposium on Computer science education, (371-375)
  16. ACM
    Tyanev D, Kolev S and Yanev D Micro-pipeline section for condition-controlled loop Proceedings of the International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing, (1-5)
  17. ACM
    Grosse D, Wille R, Kuehne U and Drechsler R Contradictory antecedent debugging in bounded model checking Proceedings of the 19th ACM Great Lakes symposium on VLSI, (173-176)
  18. Lee J and Shrivastava A Static analysis to mitigate soft errors in register files Proceedings of the Conference on Design, Automation and Test in Europe, (1367-1372)
  19. ACM
    Salter R and Donaldson J (2009). Abstraction and extensibility in digital logic simulation software, ACM SIGCSE Bulletin, 41:1, (418-422), Online publication date: 4-Mar-2009.
  20. ACM
    Salter R and Donaldson J Abstraction and extensibility in digital logic simulation software Proceedings of the 40th ACM technical symposium on Computer science education, (418-422)
  21. ACM
    Chong Y and Parameswaran S Flexible multi-mode embedded floating-point unit for field programmable gate arrays Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (171-180)
  22. Lee J and Shrivastava A Compiler-managed register file protection for energy-efficient soft error reduction Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (618-623)
  23. Zipf P, Sassatelli G, Utlu N, Saint-Jean N, Benoit P and Glesner M (2009). A decentralised task mapping approach for homogeneous multiprocessor network-on-chips, International Journal of Reconfigurable Computing, 2009, (1-14), Online publication date: 1-Jan-2009.
  24. Vegdahl S (2008). MIPSPILOT, Journal of Computing Sciences in Colleges, 24:2, (32-39), Online publication date: 1-Dec-2008.
  25. Ferreira K, Bridges P and Brightwell R Characterizing application sensitivity to OS interference using kernel-level noise injection Proceedings of the 2008 ACM/IEEE conference on Supercomputing, (1-12)
  26. ACM
    Xian F, Srisa-an W and Jiang H (2008). Contention-aware scheduler, ACM SIGPLAN Notices, 43:10, (163-180), Online publication date: 27-Oct-2008.
  27. ACM
    Xian F, Srisa-an W and Jiang H Contention-aware scheduler Proceedings of the 23rd ACM SIGPLAN conference on Object-oriented programming systems languages and applications, (163-180)
  28. ACM
    Chong Y and Parameswaran S Rapid application specific floating-point unit generation with bit-alignment Proceedings of the 45th annual Design Automation Conference, (62-67)
  29. Silverman R and Martin M (2008). Design of a pedagogical assembly language and classroom experiences, Journal of Computing Sciences in Colleges, 23:4, (208-214), Online publication date: 1-Apr-2008.
  30. ACM
    Yan J and Zhang W (2008). Exploiting virtual registers to reduce pressure on real registers, ACM Transactions on Architecture and Code Optimization, 4:4, (1-18), Online publication date: 1-Jan-2008.
  31. Eggendorfer T and Schwarz G Configuring a real time radio signal processor on an embedded system using compiled XML Proceedings of the Ninth IASTED International Conference on Signal and Image Processing, (333-338)
  32. Katelman M and Meseguer J (2007). A Rewriting Semantics for ABEL with Applications to Hardware/Software Co-Design and Analysis, Electronic Notes in Theoretical Computer Science (ENTCS), 176:4, (47-60), Online publication date: 1-Jul-2007.
  33. Chong Y and Parameswaran S Automatic application specific floating-point unit generation Proceedings of the conference on Design, automation and test in Europe, (461-466)
  34. ACM
    Warford J and Okelberry R (2007). Pep8CPU, ACM SIGCSE Bulletin, 39:1, (288-292), Online publication date: 7-Mar-2007.
  35. ACM
    Warford J and Okelberry R Pep8CPU Proceedings of the 38th SIGCSE technical symposium on Computer science education, (288-292)
  36. Saghir M, El-Majzoub M and Akl P Customizing the datapath and ISA of soft VLIW processors Proceedings of the 2nd international conference on High performance embedded architectures and compilers, (276-290)
  37. Yan J and Zhang W Virtual registers Proceedings of the 2nd international conference on High performance embedded architectures and compilers, (57-70)
  38. Ngassam E, Kourie D and Watson B A taxonomy of DFA-based string processors Proceedings of the 2006 annual research conference of the South African institute of computer scientists and information technologists on IT research in developing countries, (238-246)
  39. ACM
    Petersen A, Putnam A, Mercaldi M, Schwerin A, Eggers S, Swanson S and Oskin M Reducing control overhead in dataflow architectures Proceedings of the 15th international conference on Parallel architectures and compilation techniques, (182-191)
  40. ACM
    Vollmar K and Sanderson P (2006). MARS, ACM SIGCSE Bulletin, 38:1, (239-243), Online publication date: 31-Mar-2006.
  41. Gade A and Chu Y A case for dual-mapping one-way caches Proceedings of the 19th international conference on Architecture of Computing Systems, (130-144)
  42. ACM
    Vollmar K and Sanderson P MARS Proceedings of the 37th SIGCSE technical symposium on Computer science education, (239-243)
  43. Hallé S Using boolean differences for discovering ill-defined attributes in propositional machine learning Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence, (425-434)
  44. Vollmar D and Sanderson D (2005). A MIPS assembly language simulator designed for education, Journal of Computing Sciences in Colleges, 21:1, (95-101), Online publication date: 1-Oct-2005.
  45. ACM
    Quammen C (2005). Introduction to programming shared-memory and distributed-memory parallel computers, XRDS: Crossroads, The ACM Magazine for Students, 12:1, (2-2), Online publication date: 1-Oct-2005.
  46. A Simple Project for Teaching Instruction Set Architecture Proceedings of the Fifth IEEE International Conference on Advanced Learning Technologies, (69-71)
  47. ACM
    Franklin D and Seng J Experiences with the Blackfin architecture for embedded systems education Proceedings of the 2005 workshop on Computer architecture education: held in conjunction with the 32nd International Symposium on Computer Architecture, (3-es)
  48. Zhang Q and Theodoropoulos G Modelling SAMIPS Proceedings of the 37th annual symposium on Simulation
  49. ACM
    Bem E and Petelczyc L MiniMIPS Proceedings of the 34th SIGCSE technical symposium on Computer science education, (64-68)
  50. ACM
    Bem E and Petelczyc L (2003). MiniMIPS, ACM SIGCSE Bulletin, 35:1, (64-68), Online publication date: 11-Jan-2003.
  51. Frailey D Computer architecture Encyclopedia of Computer Science, (304-319)
  52. ACM
    Estan C and Varghese G (2002). New directions in traffic measurement and accounting, ACM SIGCOMM Computer Communication Review, 32:4, (323-336), Online publication date: 1-Oct-2002.
  53. ACM
    Estan C and Varghese G New directions in traffic measurement and accounting Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications, (323-336)
  54. ACM
    Quammen C (2002). Introduction to programming shared-memory and distributed-memory parallel computers, XRDS: Crossroads, The ACM Magazine for Students, 8:3, (16-22), Online publication date: 1-Apr-2002.
  55. ACM
    Hsu W Experiences integrating research tools and projects into computer architecture courses Proceedings of the 2000 workshop on Computer architecture education, (5-es)
  56. ACM
    Benitez D Learning the relationship between computer architecture and technology by reconfiguring Proceedings of the 1998 workshop on Computer architecture education, (30-es)
  57. ACM
    Vishnubhotla S and Ganesan S Computer engineering curriculum at Oakland University Proceedings of the 1996 workshop on Computer architecture education, (9-es)
Contributors
  • Google LLC
  • Stanford University

Recommendations