Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/201310.201326acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article
Free access

Architecture of centralized field-configurable memory

Published: 15 February 1995 Publication History
  • Get Citation Alerts
  • Abstract

    As the capacities of FPGAs grow, it becomes feasible to implement the memory portions of systems directly on an FPGA together with logic. We believe that such an FPGA must contain specialized architectural support in order to implement memories efficiently. The key feature of such architectural support is that it must be flexible enough to accommodate many different memory shapes (widths and depths) as well as allowing different numbers of independently-addressed memory blocks. This paper describes a family of centralized Field-Configurable Memory architectures which consist of a number of memory arrays and dedicated mapping blocks to combine these arrays. We also present a method for comparing these architectures, and use this method to examine the tradeoffs involved in choosing the array size and mapping block capabilities.

    References

    [1]
    G. Feygin, P. Chow, P. G. Gulak, J. Chappel, G. Goodes, O. Hall, A. Sayes, S. Singh, M. B. Smith, and S. Wilton, "A VLSI Implementation of a Cascade Viterbi Decoder with Traceback," in 1993 IEEE International Symposium on Circuits and Systems, pp. 1945-1948, May 1993.
    [2]
    I. Agi, P. J. Hurst, and K. W. Current, "A 450 MOPS image backprojector and histogrammer," in IEEE 1992 Custom Integrated Circuits Conference, pp. 6.2.1-6.2.4, May 1992.
    [3]
    R. Mason and K. Runtz, "VLSI neural network system based on reduced interchip connectivity," in Canadian Conference on Very Large Scale Integration, pp. 7.69-7.74, Nov. 1993.
    [4]
    H. Satoh, T. Nishimura, M. Tatsuki, A. Ohba, S. Hine, and Y. Kuramitsu, "A 209K-transistor ECL gate array with RAM," IEEE Journal of Solid-State Circuits, vol. 24, pp. 1275-1279, Oct. 1989.
    [5]
    K. Kaneko, T. Okamoto, M. Nakajima, Y. Nakakura, S. Gokita, J. Nishikawa, Y. Tanikawa, and H. Kadota, "A VLSI RISC with 20-MFLOPS peak, 64-bit floating point unit," IEEE Journal of Solid-State Circuits, vol. 24, pp. 1331-1339, October 1989.
    [6]
    J. Rose, R. Francis, D. Lewis, and P. Chow, "Architecture of programmable gate arrays: The effect of logic block functionality on area efficiency," IEEE Journal of Solid-State Circuits, vol. 25, pp. 1217- 1225, October 1990.
    [7]
    H.-C. Hsieh, W. S. Carter, J. Ja, E. Cheung, S. Schreifels, C. Erickson, P. Freidin, L. Tinkey, and R. Kanazawa, "Third-generation architecture boosts speed and density of field-programmable gate arrays,'' in 1990 Custom Integrated Circuits Conference, pp. 31.2.1-31.2.7, 1990.
    [8]
    R. H. Krambeck, C. Chen, and R. Tsui, "ORCA: A high speed, high density FPGA architecture," in Compcon Spring '93, pp. 367-372, February 1993.
    [9]
    Crosspoint Solutions, Inc., CP20K Field Programmable Gate Arrays, November 1992.
    [10]
    Plus Logic, FPSL5110 Product Brief.
    [11]
    D. E. Smith, "Intel's FLEXlogic FPGA architecture," in Compcon Spring '93, pp. 378-384, February 1993.
    [12]
    K. Kawana, H. Keida, M. Sakamoto, K. Shibata, and I. Moriyama, "An efficient logic block interconnect architecture for user-reprogrammable gate array," in IEEE 1990 Custom Integrated Circuits Conference, pp. 31.3.1-31.3.4, May 1990.
    [13]
    T. Ngai,"An SRAM-programmable fieldreconfigurable memory," Master's thesis, University of Toronto, 1994.
    [14]
    S. J. E. Wilton, Architecture of Field-Configurable Memory. PhD thesis, University of Toronto, in progress.
    [15]
    S. J. E. Wilton and N. P. Jouppi, "An access and cycle time model for on-chip caches," Tech. Rep. 93/5, Digital Equipment Corporation Western Research Lab, 1994.
    [16]
    J. M. Mulder, N. T. Quach, and M. J. Flynn, "An area model for on-chip memories and its application," IEEE Journal of Solid-State Circuits, vol. 26, pp. 98- 106, Feb. 1991.

    Cited By

    View all
    • (2018)Enhancing FPGAs with Magnetic Tunnel Junction-Based Block RAMsACM Transactions on Reconfigurable Technology and Systems10.1145/315442511:1(1-22)Online publication date: 26-Jan-2018
    • (2016)Related WorkArchitecture Exploration of FPGA Based Accelerators for BioInformatics Applications10.1007/978-981-10-0591-6_2(9-28)Online publication date: 3-Mar-2016
    • (2012)Design flow of reconfigurable embedded system architecture using LUTs/PLAs2012 2nd IEEE International Conference on Parallel, Distributed and Grid Computing10.1109/PDGC.2012.6449851(385-390)Online publication date: Dec-2012
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    FPGA '95: Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
    February 1995
    174 pages
    ISBN:089791743X
    DOI:10.1145/201310
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 15 February 1995

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    FPGA95
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 125 of 627 submissions, 20%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)30
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 12 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)Enhancing FPGAs with Magnetic Tunnel Junction-Based Block RAMsACM Transactions on Reconfigurable Technology and Systems10.1145/315442511:1(1-22)Online publication date: 26-Jan-2018
    • (2016)Related WorkArchitecture Exploration of FPGA Based Accelerators for BioInformatics Applications10.1007/978-981-10-0591-6_2(9-28)Online publication date: 3-Mar-2016
    • (2012)Design flow of reconfigurable embedded system architecture using LUTs/PLAs2012 2nd IEEE International Conference on Parallel, Distributed and Grid Computing10.1109/PDGC.2012.6449851(385-390)Online publication date: Dec-2012
    • (1999)Memory interfacing and instruction specification for reconfigurable processorsProceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays10.1145/296399.296446(145-154)Online publication date: 1-Feb-1999
    • (1999)The Hybrid Field-Programmable ArchitectureIEEE Design & Test10.1109/54.76520616:2(74-83)Online publication date: 1-Apr-1999
    • (1998)SMAPProceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays10.1145/275107.275137(171-178)Online publication date: 1-Mar-1998
    • (1997)Memory-to-memory connection structures in FPGAs with embedded memory arraysProceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays10.1145/258305.258307(10-16)Online publication date: 9-Feb-1997
    • (1996)Hybrid FPGA architectureProceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays10.1145/228370.228371(3-9)Online publication date: 15-Feb-1996
    • (1996)FPGA Architectural ResearchIEEE Design & Test10.1109/54.54453113:4(9-15)Online publication date: 1-Dec-1996
    • (1995)An SRAM-programmable field-configurable memoryProceedings of the IEEE 1995 Custom Integrated Circuits Conference10.1109/CICC.1995.518232(499-502)Online publication date: 1995

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media