Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

LimitLESS directories: A scalable cache coherence scheme

Published: 01 April 1991 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    Sarita V. Adve and Mark D. Hill. Weak Ordering- A New Definition. In Proceedings 17th Annual International Symposium on Computer Architecture, June 1990.
    [2]
    Anant Agarwal, Beng-Hong Lim, David Kranz, and John Kubiatowicz. APRIL: A Processor Architecture for Multiprocessing. in Proceedings 17th Annual International Symposium on Computer Architecture, June 1990.
    [3]
    Anant Agarwal, Richard Simoni, John Hennessy, and Mark Horowitz. An Evaluation of Directory Schemes for Cache Coherence. In Proceedings of the 15th International Symposium on Computer Architecture, IEEE, New York, June 1988.
    [4]
    James Archibald and Jean-Loup Baer. An Economical Solution to the Cache Coherence Problem. In Proceedings of the l~th International Symposium on Computer Architecture, pages 355-362, IEEE, New York, June 1985.
    [5]
    John K. Bennett, John B. Carter, and Willy Zwaenepoel. Adaptive Software Cache Management for Distributed Shared Memory Architectures. In Proceedings 17th Annual International Symposium on Computer Architecture, June 1990.
    [6]
    Lucien M. Censier and Paul Feautrier. A New Solution to Coherence Problems in Multicache Systems. IEEE Transactions on Computers, C-27(12):1112-1118, December 1978.
    [7]
    David Chaiken, Craig Fields, Kiyoshi Kurihara, and Anant AgarwM. Directory-Based Cache-Coherence in Large-Scale Multiprocessors. IEEE Computer, June 1990.
    [8]
    Mathews Cherian. A Study of Backoff Barrier Synchronization in Shared-Memory Multiprocessors. Master's thesis, MIT, EECS Dept, May 1989.
    [9]
    David R. Cheriton, Gert A. Slavenberg, and Patrick D. Boyle. Software-Controlled Caches in the VMP Multiprocessor. In Proceedings of the 13th Annual Symposium on Computer Architecture, pages 367-374, IEEE, New York, June 1986.
    [10]
    William J. Dally. A VLSi Architecture for Concurrent Data Structures. Kluwer Academic Pubhshers, 1987.
    [11]
    Michel Dubois, Christoph Scheurich, and Faye A. Briggs. Synchronization, Coherence, and Event Ordering in Multiprocessors. IEEE Computer, 9-21, February 1988.
    [12]
    K. Gharachorloo, D. Lenoski, J. Laudon, P. Gibbons, A. Gupta, and J. Hennessy. Memory Consistency and Event Ordering in Scalable Shared-Memory Multiprocessors. In Proceedings 17th Annual International Symposium on Computer Architecture, June 1990.
    [13]
    James R. Goodman. Using Cache Memory to Reduce Processor-Memory Traffic. In Proceedings of the lOth Annual Symposium on Computer Architecture, pages 124-131, IEEE, New York, June 1983.
    [14]
    David V. James, Anthony T. Laundrie, Stein Gjessing, and Ourindar S. Sohi. Distributed-Directory Scheme: Scalable Coherent Interface. IEEE Computer, 74-77, June 1990.
    [15]
    R. H. Katz, S. J. Eggers, D. A. Wood, C. L. Perkins, and R. G. Sheldon. Implementing a Cache Consistency Protocol. In Proceedings of the l~th International Symposium on Computer Architecture, pages 276-283, IEEE, New York, June 1985.
    [16]
    D. Kranz, R. Halstead, and E. Mohr. Mul-T: A High- Performance Parallel Lisp. In Proceedings of SIGPLAN '89, Symposium on Programming Languages Design and Implementation, June 1989.
    [17]
    Kiyoshi Kurihara, David Chaiken, and Anant Agarwal. Latency Tolerance in Large-Scale Multiprocessors. October 1990. MIT VLSI Memo 1990 #90-626. Submitted for publication.
    [18]
    Leslie Lamport. How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs. IEEE Transactions on Computers, C-28(9), September 1979.
    [19]
    Brian W. O'Krafka and A. Richard Newton. An Empirical Evaluation of Two Memory-Efficient Directory Methods. In Proceedings 17th Annual International Symposium on Computer Architecture, June 1990.
    [20]
    Mark S. Papamarcos and Janak It. Patel. A Low- Overhead Coherence Solution for Multiprocessors with Private Cache Memories. In Proceedings of the l~th International Symposium on Computer Architecture, pages 348-354, IEEE, New York, June 1985.
    [21]
    Charles L. Seitz. Concurrent VLSI Architectures. IEEE Transactions on Computers, C-33(12), December 1984.
    [22]
    SPARC Architecture Manual. 1988. SUN Microsysterns, Mountain View, California.
    [23]
    C. K. Tang. Cache Design in the Tightly Coupled Multiprocessor System. In AFIPS Conference Proceedings, National Computer Conference, NY, NY, pages 749- 753, June 1976.
    [24]
    Charles P. Themker and Lawrence C. Stewart. Firefly: a Multiprocessor Workstation. In Proceedings of ASPLOS II, pages 164-172, October 1987.
    [25]
    Wolf-Dietrich Weber and Anoop Gupta. Analysis of Cache Invalidation Patterns in Multiprocessors. In Proceedings of ASPLOS III, pages 243-256, April 1989.

    Cited By

    View all

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM SIGPLAN Notices
    ACM SIGPLAN Notices  Volume 26, Issue 4
    Special issue of a journal and a proceedings
    Apr. 1991
    305 pages
    ISSN:0362-1340
    EISSN:1558-1160
    DOI:10.1145/106973
    Issue’s Table of Contents
    • cover image ACM Conferences
      ASPLOS IV: Proceedings of the fourth international conference on Architectural support for programming languages and operating systems
      April 1991
      320 pages
      ISBN:0897913809
      DOI:10.1145/106972
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 April 1991
    Published in SIGPLAN Volume 26, Issue 4

    Check for updates

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)143
    • Downloads (Last 6 weeks)15
    Reflects downloads up to 27 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Hardware-Supported Transactional MemoryTransactional Memory10.1007/978-3-031-01719-3_4(131-205)Online publication date: 17-Oct-2022
    • (2014)DEAM: Decoupled, Expressive, Area-Efficient Metadata CacheJournal of Computer Science and Technology10.1007/s11390-014-1459-029:4(679-691)Online publication date: 4-Jul-2014
    • (2010)A scalable organization for distributed directoriesJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2009.11.00656:2-3(77-87)Online publication date: 1-Feb-2010
    • (2009)Dealing with Traffic-Area Trade-Off in Direct Coherence Protocols for Many-Core CMPsProceedings of the 8th International Symposium on Advanced Parallel Processing Technologies10.1007/978-3-642-03644-6_2(11-27)Online publication date: 21-Aug-2009
    • (2006)Transactional MemorySynthesis Lectures on Computer Architecture10.2200/S00070ED1V01Y200611CAC0021:1(1-226)Online publication date: Jan-2006
    • (1993)The KSR1: High Performance and Ease of Programming, No Longer an OxymoronSupercomputer ’9310.1007/978-3-642-78348-7_7(53-70)Online publication date: 1993
    • (2021)WiDir: A Wireless-Enabled Directory Cache Coherence Protocol2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA51647.2021.00034(304-317)Online publication date: Mar-2021
    • (2021)DynaCo: Dynamic Coherence Management for Tiled Manycore ArchitecturesInternational Journal of Parallel Programming10.1007/s10766-020-00688-6Online publication date: 3-Jan-2021
    • (2019)SecDirProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3326635(332-345)Online publication date: 22-Jun-2019
    • (2019)CoD: Coherence-on-Demand – Runtime Adaptable Working Set Coherence for DSM-Based Manycore ArchitecturesEmbedded Computer Systems: Architectures, Modeling, and Simulation10.1007/978-3-030-27562-4_2(18-33)Online publication date: 8-Aug-2019
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media