Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1118299.1118490acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
Article

Power distribution techniques for dual VDD circuits

Published: 24 January 2006 Publication History

Abstract

Extensive research has proposed the use of multiple on-die power supplies (VDD) for reducing power consumption in CMOS circuits. We present a detailed study and design techniques for power delivery systems in dual VDD CMOS circuits. We first show that the total current to be delivered by the voltage supplies is significantly reduced (by 27%-46%) in dual VDD circuits. This current reduction prompts various design strategies that can be employed to design the power delivery system. We describe issues that arise at the system, board and package levels and propose a high-level model for the same. We then provide a new placement driven approach for designing on-die dual VDD power grids. Compared to already existing methods, the dual VDD grids generated by our approach reduce the worst case and average voltage drop by up to 12.3% and 6.8% respectively with no area overhead and sometimes improving wire congestion. We also show that dual VDD circuits can afford lower on-die decoupling capacitance budgets.

References

[1]
R. Krishnamurthy, et al., "High-performance and low-power challenges for sub-70nm microprocessor circuits," Proc. CICC, pp. 125--128, 2002.
[2]
K. Usami and M. Horowitz, "Clustered voltage scaling technique for low-power design," Proc. ISLPED, pp. 3--8, 1995.
[3]
C. Chen, A. Srivastava, and M. Sarrafzadeh, "On gate level power optimization using dual-supply voltages," IEEE TVLSI, vol. 9, pp. 616--629, 2001.
[4]
C. Yeh, et al., "Gate-level design exploiting dual supply voltages for power-driven applications," Proc. DAC, pp. 68--71, 1999.
[5]
D. Nguyen, et al., "Minimization of dynamic & static power through joint assignment of threshold voltages and sizing optimization," Proc. ISLPED, pp. 158--163, 2003.
[6]
S. H. Kulkarni, et al., "A new algorithm for improved VDD assignment in low power dual VDD systems," Proc. ISLPED, pp. 200--205, 2004.
[7]
W. Hung, et al., "Total power optimization through simultaneously multiple-VDD multiple-VTH assignment and device sizing," Proc. ISLPED, pp. 144--149, 2004.
[8]
K. Usami, et al., "Automated low-power technique exploiting multiple supply voltages applied to a media processor," IEEE JSSC, pp. 463--472, 1998.
[9]
S. Mathew, et al., "A 4GHz 300mW 64b integer execution ALU with dual supply voltages in 90nm CMOS," Proc. ISSCC, pp. 162--519, 2004.
[10]
K. Zhang, et al., "A 3GHz 79Mb SRAM in 65nm CMOS technology with integrated column based dynamic power supply," Proc. ISSCC, 2005.
[11]
C. Yeh, et al., "Layout techniques supporting the use of dual supply voltages for cell-based designs," Proc. DAC, pp. 62--67, 1999.
[12]
M. Hamada, Y. Ootaguro, and T. Kuroda, "Utilizing surplus timing for power reduction," Proc. CICC, pp. 89--92, 2001.
[13]
M. Igarashi, et al., "A low-power design method using multiple supply voltages," Proc. ISLPED, pp. 36--41, 1997.
[14]
J.-S. Wang, et al., "Design of standard cells used in low-power ASIC's exploiting the multiple-supply-voltage scheme," Proc. IEEE ASIC Conf., pp. 119--123, 1998.
[15]
M. Popovich, et al., "On-chip power distribution grids with multiple supply voltages for high performance integrated circuits," Proc. GLVLSI, pp. 2--7, 2005.
[16]
J. Fishburn and A. Dunlop, "TILOS: a posynomial programming approach to transistor sizing," Proc. ICCAD, pp. 326--328, 1985.
[17]
M. Takahashi, et al., "A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme," IEEE JSSC, pp. 1772--1780, 1998.
[18]
T. Kuroda and M. Hamada, "Low-power CMOS digital design with dual embedded adaptive power supplies," IEEE JSSC, pp. 652--655, 2000.
[19]
F. Brglez and H. Fujiwara, "A neural netlist of 10 combinational benchmark circuits and a target translator in Fortran," Proc. ISCAS, pp. 695--698, 1985.
[20]
Intel, "Intel Pentium 4 processor in the 423 pin/Intel 850 Chipset Platform," 2002.
[21]
A. E. Ruehli, "Inductance calculations in a complex integrated circuit environment," IBM J. R&D, pp. 470--481, 1972.
[22]
S. C. Wong, G. Y. Lee, and D. J. Ma, "Modeling of interconnect capacitance, delay and crosstalk in VLSI," IEEE Trans. Semiconductor Manufacturing, pp. 108--111, 2000.
[23]
C. Hoer and C. Love, "Exact inductance equations for rectangular conductors with applications to more complicated geometries," J. Res. Nat. Bureau Standards, 1965.
[24]
T.-H. Chen, et al, "INDUCTWISE: inductance-wise interconnect simulator and extractor," Proc. ICCAD, pp. 215--220, 2002.
[25]
S. Zhao, K. Roy, and C-K Koh, "Decoupling capacitance allocation and its application to power-supply noise-aware floorplanning," IEEE TCAD, pp. 81--92, 2002.
[26]
H. Su, S. S. Sapatnekar, and S. R. Nassif, "Optimal decoupling capacitor sizing and placement for standard-cell layout designs," IEEE TCAD, pp. 428--436, 2003.
[27]
E. Chiprout, "Fast flip-chip power grid analysis via locality and grid shells," Proc. ICCAD, pp. 485--488, 2004.
[28]
J. Singh and S. Sapatnekar, "Congestion-aware topology optimization of structured power/ground networks," IEEE TCAD, pp. 683--695, 2005.

Cited By

View all
  • (2011)The approximation scheme for peak power driven voltage partitioningProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132486(736-741)Online publication date: 7-Nov-2011
  • (2011)Floorplanning considering IR drop in multiple supply voltages island designsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.203742819:4(638-646)Online publication date: 1-Apr-2011
  • (2007)Power Delivery Aware Floorplanning for Voltage Island DesignsProceedings of the 8th International Symposium on Quality Electronic Design10.1109/ISQED.2007.121(350-355)Online publication date: 26-Mar-2007
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ASP-DAC '06: Proceedings of the 2006 Asia and South Pacific Design Automation Conference
January 2006
998 pages
ISBN:0780394518

Sponsors

  • IEEE Circuits and Systems Society
  • SIGDA: ACM Special Interest Group on Design Automation
  • IEICE ESS: Institute of Electronics, Information and Communication Engineers, Engineering Sciences Society
  • IPSJ SIG-SLDM: Information Processing Society of Japan, SIG System LSI Design Methodology

Publisher

IEEE Press

Publication History

Published: 24 January 2006

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Acceptance Rates

Overall Acceptance Rate 466 of 1,454 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 22 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2011)The approximation scheme for peak power driven voltage partitioningProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132486(736-741)Online publication date: 7-Nov-2011
  • (2011)Floorplanning considering IR drop in multiple supply voltages island designsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.203742819:4(638-646)Online publication date: 1-Apr-2011
  • (2007)Power Delivery Aware Floorplanning for Voltage Island DesignsProceedings of the 8th International Symposium on Quality Electronic Design10.1109/ISQED.2007.121(350-355)Online publication date: 26-Mar-2007
  • (2007)Power Optimization using Multiple Supply VoltagesClosing the Power Gap Between ASIC & Custom10.1007/978-0-387-68953-1_8(189-217)Online publication date: 2007

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media