Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1119772.1119934acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
Article

Congestion driven incremental placement algorithm for standard cell layout

Published: 21 January 2003 Publication History
  • Get Citation Alerts
  • Abstract

    Congestion minimization is the least understood in placement objectives, however, it models routability most accurately. In this paper, a new incremental placement algorithm C-ECOP for standard cell layout is presented to reduce routing congestion. Congestion estimation is based on a new routing model and a more accurate cost function. An integer linear programming (ILP) problem is formulated to determine cell flow direction and avoid the conflictions between adjacent congestion areas. Experimental results show that the algorithm can considerably reduce routing congestion and preserve the performance of the initial placement with high speed.

    References

    [1]
    A. E. Dunlop and B. W. Kernighan, "A procedure for placement of standard cell VLSI circuits," IEEE Trans. Computer Aided Design, vol. 4, pp. 92--98, Jan. 1985.
    [2]
    H. Eisenmann and F. M. Johannes, "Generic global placement and floorplanning," in Proc. Design Automation Conf., 1998, pp. 269--274.
    [3]
    Saab et. al, "A fast clustering-based Min-cut placement algorithm with simulated-annealing performance," VLSI Design: Int. J. Custom-Chip Design, Simulation, Testing, vol. 5, no. 1, pp. 37--48, 1996.
    [4]
    G. Meixner and U. Lauther, "Congestion-driven placement using a new multi-partitioning heuristic," in Proc. Int. Conf. Computer-Aided Design, Nov. 1990, pp. 332--335.
    [5]
    Zhuoyuan Li, Weimin Wu, Xianlong Hong, Jun Gu, "Incremental placement algorithm for standard-cell layout", Circuits and Systems, 2002 IEEE International Symposium on, Volume: 2, 2002, Page(s): 883--886.
    [6]
    Wenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Weimin Wu, Jun Gu, Kao W. H., "A new congestion-driven placement algorithm based on cell inflation", Design Automation Conference, 2001. Proceedings of the ASP-DAC 2001. Asia and South Pacific, 2001, Page(s): 605--608
    [7]
    Xiaojian Yang; Kastner, R.; Sarrafzadeh, M., "Congestion reduction during placement based on integer programming", Computer Aided Design, 2001. ICCAD 2001. IEEE/ACM International Conference on, 2001, Page(s): 573--576
    [8]
    Maogang Wang; Xiaojian Yang; Sarrafzadeh, M., "Congestion minimization during placement", Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, Volume: 19 Issue: 10, Oct. 2000, Page(s): 1140--1148
    [9]
    Maogang Wang; Sarrafzadeh, M., "Modeling and minimization of routing congestion", Design Automation Conference, 2000. Proceedings of the ASP-DAC 2000. Asia and South Pacific, 2000, Page(s): 185--190
    [10]
    Maogang Wang; Sarrafzadeh, M., "On the Behavior of Congestion Minimization During Placement", International Symposium on Physical Design, April 1990, Page(s): 145--150
    [11]
    O. Coudert, J. Cong, S. Malik, M. Sarrafzadeh, "Incremental CAD", Computer Aided Design, 2000. ICCAD-2000. IEEE/ACM International Conference on, 2000, Page(s): 236--243
    [12]
    J. Cong and M. Sarrafzadeh, "Incremental Physical Design", Proc. International Symposium on Physical Design, San Diego, California, April 2000, Page(s): 84--92
    [13]
    http://er.cs.ucla.edu/benchmarks/ibm-place/
    [14]
    http://er.cs.ucla.edu/Dragon/

    Cited By

    View all
    • (2015)Progress and Challenges in VLSI Placement ResearchProceedings of the IEEE10.1109/JPROC.2015.2478963103:11(1985-2003)Online publication date: Nov-2015
    • (2013)Optimizing routability in large-scale mixed-size placement2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2013.6509636(441-446)Online publication date: Jan-2013
    • (2012)Progress and challenges in VLSI placement researchProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429441(275-282)Online publication date: 5-Nov-2012
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ASP-DAC '03: Proceedings of the 2003 Asia and South Pacific Design Automation Conference
    January 2003
    865 pages
    ISBN:0780376609
    DOI:10.1145/1119772
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 21 January 2003

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. congestion
    2. incremental placement
    3. standard cell

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate 466 of 1,454 submissions, 32%

    Upcoming Conference

    ASPDAC '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 09 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2015)Progress and Challenges in VLSI Placement ResearchProceedings of the IEEE10.1109/JPROC.2015.2478963103:11(1985-2003)Online publication date: Nov-2015
    • (2013)Optimizing routability in large-scale mixed-size placement2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2013.6509636(441-446)Online publication date: Jan-2013
    • (2012)Progress and challenges in VLSI placement researchProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429441(275-282)Online publication date: 5-Nov-2012
    • (2011)A SimPLR method for routability-driven placementProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132346(67-73)Online publication date: 7-Nov-2011
    • (2011)A SimPLR method for routability-driven placementProceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design10.1109/ICCAD.2011.6105307(67-73)Online publication date: 7-Nov-2011
    • (2008)Guiding global placement with wire densityProceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design10.5555/1509456.1509511(212-217)Online publication date: 10-Nov-2008
    • (2008)Guiding global placement with wire density2008 IEEE/ACM International Conference on Computer-Aided Design10.1109/ICCAD.2008.4681576(212-217)Online publication date: Nov-2008
    • (2007)Fast and accurate routing demand estimation for efficient routability-driven placementProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266632(1226-1231)Online publication date: 16-Apr-2007
    • (2007)Fast and Accurate Routing Demand Estimation for Efficient Routability-driven Placement2007 Design, Automation & Test in Europe Conference & Exhibition10.1109/DATE.2007.364463(1-6)Online publication date: Apr-2007
    • (2007)Evaluation, prediction and reduction of routing congestionMicroelectronics Journal10.1016/j.mejo.2007.07.12238:8-9(942-958)Online publication date: Aug-2007
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media