Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1176760.1176810acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Mitigating soft error failures for multimedia applications by selective data protection

Published: 22 October 2006 Publication History

Abstract

With advances in process technology, soft errors(SE)are becoming an increasingly critical design concern. Due to their large area and high density, caches are worst hit by soft errors. Although Error Correction Code based mechanisms protect the data in caches, they have high performance and power overheads. Since multimedia applications are increasingly being used in mission-critical embedded systems where both reliability and energy are a major concern, there is a de?nite need to improve reliability in embedded systems, without too much energy overhead. We observe that while a soft error in multimedia data may only result in a minor loss in QoS, a soft error in avariable that controls the execution ?ow of the program may be fatal. Consequently, we propose to partition the data space into failure critical and failure non-critical data, and provide a high-degree of soft error protection only to the failure critical data in Horizontally Partitioned Caches. Experimental results demonstrate that our selective data protection can achieve the failure rate close to that of a soft error protected cache system, while retaining the performance and energy consumption similar to those of a traditional cache system, with some degradation in QoS. For example, for conventional con?guration as in IntelXScale, our approach achieves the same failure rate, while improving performance by 28% and reducing energy consumption by 29%in comparison with a soft error protected cache.

References

[1]
R. Baumann. Soft Errors in Advanced Computer Systems. IEEE Design and Test of Computers, 22(3):258--266, May-June2005.
[2]
M. P. Baze, S. P. Buchner, and D. McMorrow. A Digital CMOS Design Technique for SEU Hardening. IEEE Trans. on Nuclear Science, 47(6):2603--2608, Dec 2000.
[3]
D. Burger and T. M. Austin. The Simple Scalar Tool Set, version 2.0. SIGARCH Computer Architecture News, 25(3):13--25, 1997.
[4]
Y. Cai, M. T. Schmitz, A. Ejlali, B. M. Al-Hashimi, and S. M. Reddy. Cache Size Selection for Performance, Energy and Reliability of Time-Constrained Systems. In ASP-DAC, 2006.
[5]
A. González, C. Aliagas, and M. Valero. A Data Cache with Multiple Caching Strategies Tuned to Different Types of Locality. In ICS'95, pages 338--347, July 1995.
[6]
M. Guthaus, J. Ringenberg, D. Ernst, T. Austin, T. Mudge, and R. Brown. MiBench: A Free, Commercially Representative Embedded Benchmark Suite. In Fourth IEEE Workshop Workload Characterization, pages 10--22, Dec 2001.
[7]
P. Hazucha and C. Svensson. Impact of CMOS Technology Scaling on the Atmospheric Neutron Soft Error Rate. IEEE Trans. on Nuclear Science, 47(6):2586--2594, 2000.
[8]
Hewlett Packard, http://www.hp.com. HP iPAQ h4000 Series-System Specifications.
[9]
Intel, http://www.intel.com/design/intelxscale/273473.htm. IntelX Scale(R) Core: Developer's Manual.
[10]
S. Kim. Area-Efficient Error Protection fo rCaches. In DATE'06, pages 1282--1287, Mar 2006.
[11]
S. Kim, N. Vijaykrishnan, M. Kandemir, A. Sivasubramaniam, andM. J. Irwin. Partitioned Instruction Cache Architecture for Energy Efficiency. Trans. on Embedded Computing Sys., 2(2):163--185, 2003.
[12]
C. Lee, M. Potkonjak, and W. H. Mangione-Smith. Media Bench: A Tool for Evaluating and Synthesizing Multimedia and Communicatons Systems. InInternational Symposium on Microarchitecture, pages 330--335, 1997.
[13]
K. Lee, A. Shrivastava, I. Issenin, N. Dutt, and N. Venkatasubramanian. Horizontally Partitioned Caches to Reduce Failures due to Soft Errors for Mission-Critical Multimedia Embedded Systems. Technical report, UCI, 2006.
[14]
W. Leung, F.-C. Hsu, and M.-E. Jones. The Ideal SoC Memory: 1T-SRAM. In 13th IEEE SoC/ASIC Conference, pages 32--36, Sep 2000.
[15]
J.-F. Li and Y.-J. Huang. An Error Detection and Correction Scheme for RAMs with Partial-Write Function. In IEEE International Workshop on Memory Technology, Design, and Testing (MTDT'05), pages 115--120, 2005.
[16]
L. Li, V. Degalahal, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin. Soft Error and Energy Consumption Interactions: A Data Cache Perspective. In ISLPED, pages 132--137, Aug 2004.
[17]
R. Mastipuram and E. C. Wee. Soft Errors' Impact on System Reliability. http://www.edn.com/article/CA454636, Sep 2004.
[18]
S. S. Mukherjee, J. Emer, T. Fossum, and S. K. Reinhardt. Cache Scrubbing in Microprocessors: Myth or Necessity? In PRDC'04, 2004.
[19]
O. Musseau. Single-Event Effects in SOI Technologies and Devices. IEEE Trans. on Nuclear Science, 43(2):603--613, Apr 1996.
[20]
G. Neuberger, F. D. Lima, L. Carro, and R. Reis. A Multiple Bit Upset Tolerant SRAM Memory. ACM Trans. on Design Automation of Electronic Systems, 8(4):577--590, Oct 2003.
[21]
. Phelan. Addressing Soft Errors in ARM Core-based Designs. Technical report, ARM, 2003.
[22]
D. K. Pradhan. Fault-Tolerant Computer System Design. Prentice Hall, 1996. ISBN 0-1305-7887-8.
[23]
J. A. Rivers, E. S. Tam, G. S. Tyson, E. S. Davidson, and M. Farrens. Utilizing Reuse Information in Data Cache Management. In ICS'98, pages 449--456, 1998.
[24]
P. Roche, G. Gasiot, K. Forbes, Oapos, V. Sullivan, and V. Ferlet. Comparisons of Soft Error Rate for SRAMs in Commercial SOI and Bulk Below the130-nm Technology Node. IEEE Trans. on Nuclear Science, 50(6):2046--2054, Dec 2003.
[25]
N. Seifert, D. Moyer, N. Leland, and R. Hokinson. Historical Trend in Alpha-Particle induced Soft Error Rates of the Alpha Microprocessor. In IEEE 39th Annual International Reliability Physics Symposium, 2001.
[26]
P. Shivakumar and N. Jouppi. CACTI3. 0: An Integrated Cache Timing, Power, and Area Model. In WRL Technical Report 2001/2, 2001.
[27]
A. Shrivastava, I. Issenin, and N. Dutt. Compilation Techniques for Energy Reduction in Horizontally Partitioned Cache Architectures. In CASES'05, 2005.
[28]
Synopsys Inc., Mountain View, CA, USA. Design Compiler Reference Manual, 2001.
[29]
G. Tyson, M. Farrens, J. Matthews, and A. R. Pleszkun. A Modi?ed Approach to Data Cache Management. In MICRO28, pages 93--103, Los Alamitos, CA, USA, 1995.
[30]
S. N. University. PeaCE: Ptolemy extension as Codesign Environment. Technical report, SNU, Nov. 2003.
[31]
F. Wrobel, J. M. Palau, M. C. Calvet, O. Bersillon, and H. Duarte. Simulation of Nucleon-Induced Nuclear Reactions in a Simpli?ed SRAM Structure: Scaling Effects on SEU and MBU Cross Sections. IEEE Trans. on Nuclear Science, 48(6):1946--1952, 2001.

Cited By

View all
  • (2023)Investigating the Impact of High-Level Software Design on Low-Level Hardware Fault Resilience2023 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks - Supplemental Volume (DSN-S)10.1109/DSN-S58398.2023.00044(163-167)Online publication date: Jun-2023
  • (2022)Mitigating Silent Data Corruptions in HPC Applications across Multiple Program InputsSC22: International Conference for High Performance Computing, Networking, Storage and Analysis10.1109/SC41404.2022.00022(1-14)Online publication date: Nov-2022
  • (2022)Accuracy-Aware CompilersApproximate Computing Techniques10.1007/978-3-030-94705-7_7(177-214)Online publication date: 3-Jan-2022
  • Show More Cited By

Index Terms

  1. Mitigating soft error failures for multimedia applications by selective data protection

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          CASES '06: Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
          October 2006
          448 pages
          ISBN:1595935436
          DOI:10.1145/1176760
          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Sponsors

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          Published: 22 October 2006

          Permissions

          Request permissions for this article.

          Check for updates

          Author Tags

          1. horizontally partitioned caches
          2. multimedia embedded systems
          3. selective data protection
          4. soft errors

          Qualifiers

          • Article

          Conference

          ESWEEK06
          ESWEEK06: Second Embedded Systems Week 2006
          October 22 - 25, 2006
          Seoul, Korea

          Acceptance Rates

          Overall Acceptance Rate 52 of 230 submissions, 23%

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)8
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 06 Oct 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2023)Investigating the Impact of High-Level Software Design on Low-Level Hardware Fault Resilience2023 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks - Supplemental Volume (DSN-S)10.1109/DSN-S58398.2023.00044(163-167)Online publication date: Jun-2023
          • (2022)Mitigating Silent Data Corruptions in HPC Applications across Multiple Program InputsSC22: International Conference for High Performance Computing, Networking, Storage and Analysis10.1109/SC41404.2022.00022(1-14)Online publication date: Nov-2022
          • (2022)Accuracy-Aware CompilersApproximate Computing Techniques10.1007/978-3-030-94705-7_7(177-214)Online publication date: 3-Jan-2022
          • (2021)Characterizing System-Level Masking Effects against Soft ErrorsElectronics10.3390/electronics1018228610:18(2286)Online publication date: 17-Sep-2021
          • (2021)Leveraging the Interplay of RAID and SSD for Lifetime Optimization of Flash-Based SSD RAIDIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.302049540:7(1395-1408)Online publication date: Jul-2021
          • (2019)Scheduling opportunities for asymmetrically reliable cachesJournal of Parallel and Distributed Computing10.1016/j.jpdc.2019.01.005126(134-151)Online publication date: Apr-2019
          • (2018)Compilation and Other Software Techniques Enabling Approximate ComputingApproximate Circuits10.1007/978-3-319-99322-5_22(443-463)Online publication date: 6-Dec-2018
          • (2017)BenchPrimeACM Transactions on Embedded Computing Systems10.1145/312649916:5s(1-22)Online publication date: 27-Sep-2017
          • (2017)Quality-configurable memory hierarchy through approximationProceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion10.1145/3125501.3125525(1-2)Online publication date: 15-Oct-2017
          • (2017)Protecting Caches from Soft ErrorsACM Transactions on Embedded Computing Systems10.1145/306318016:4(1-28)Online publication date: 11-May-2017
          • Show More Cited By

          View Options

          Get Access

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media