Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1269843.1269850acmconferencesArticle/Chapter ViewAbstractPublication PagesscopesConference Proceedingsconference-collections
Article

Operating system integrated energy aware scratchpad allocation strategies for multiprocess applications

Published: 20 April 2007 Publication History

Abstract

Various scratchpad allocation strategies have been developed in the past. Most of them target the reduction of energy consumption. These approaches share the necessity of having direct access to the scratchpad memory. In earlier embedded systems this was always true, but with the increasing complexity of tasks systems have to perform, an additional operating system layer between the hardware and the application is becoming mandatory. This paper presents an approach to integrate a scratchpad memory manager into the operating system. The goal is to minimize energy consumption. In contrast to previous work, compile time knowledge about the application's behavior is taken into account. A set of fast heuristic allocation methods is proposed in this paper. An in-depth study and comparison of achieved energy savings and cycle reductions was performed. The results show that even in the highly dynamic environment of an operating system equipped embedded system, up to 83% energy consumption reduction can be achieved.

References

[1]
F. Angiolini, L. Benini, and A. Caprara. Polynomial-time algorithm for on-chip scratchpad memory partitioning. In Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), pages 318--326. ACM Press, 2003.
[2]
F. Angiolini, L. Benini, and A. Caprara. An efficient profile-based algorithm for scratchpad memory partitioning. IEEE Transactions on CAD of Integrated Circuits and Systems, 24(11):1660--1676, 2005.
[3]
R. Banakar, S. Steinke, B.-S. Lee, M. Balakrishnan, and P. Marwedel. Scratchpad Memory: A Design Alternative for Cache On-chip memory in Embedded Systems. In Proceedings of the 10th International Symposium on Hardware/Software Codesign (CODES), 2002.
[4]
M. R. Garey and D. S. Johnson. Computers and Intractability: A Guide To the Theory of NP-Completeness. Freeman, 1979.
[5]
M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown. MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of the 4th Annual IEEE International Workshop on Workload Characterization (WWC), pages 3--14. IEEE, 2001.
[6]
ICD - Informatik Centrum Dortmund e.V. ICD-C Compiler framework. http://www.icd.de/es/icd-c/icd-c.html, 2006.
[7]
M. T. Kandemir, J. Ramanujam, M. J. Irwin, N. Vijaykrishnan, I. Kadayif, and A. Parikh. Dynamic Management of Scratch-Pad Memory Space. In Proceedings of the 38th DAC conference, pages 690--695. ACM Press, 2001.
[8]
A. R. Lebeck, X. Fan, H. Zeng, and C. Ellis. Power aware page allocation. In Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 105--116. ACM Press, 2000.
[9]
M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, and R. Zafalon. Analyzing On-Chip Communication in a MP-SoC Environment. In Proceedings of the 7th DATE conference. IEEE, 2004.
[10]
S. Mamagkakis, D. Atienza, C. Poucet, F. Catthoor, D. Soudris, and J. M. Mendias. Automated exploration of Pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems. In Proceedings of the 9th DATE conference, pages 874--875. EDAA, 2006.
[11]
OAR Corporation. RTEMS Homepage. http://www.rtems.com/, 2003.
[12]
P. R. Panda, N. Dutt, and A. Nicolau. Memory Issues in Embedded Systems-On-Chip. Kluwer Academic Publishers, 1999.
[13]
F. Poletti, P. Marchal, D. Atienza, L. Benini, F. Catthoor, and J. M. Mendias. An integrated hardware/software approach for run-time scratchpad management. In Proceedings of the 41th DAC conference, pages 238--243. ACM Press, 2004.
[14]
S. Steinke, L. Wehmeyer, B.-S. Lee, and P. Marwedel. Assigning Program and Data Objects to Scratchpad for Energy Reduction. In Proceedings of the 5th DATE conference, pages 409--417. IEEE, 2002.
[15]
M. Verma, K. Petzold, L. Wehmeyer, H. Falk, and P. Marwedel. Scratchpad sharing strategies for multiprocess embedded systems: A first approach. In Proceedings of 3rd Workshop on Embedded System for Real-Time Multimedia (ESTIMedia). IEEE, 2005.
[16]
M. Verma, L. Wehmeyer, and P. Marwedel. Cache-aware scratchpad allocation algorithm. In Proceedings of the 7th DATE conference, pages 1264--1269. IEEE, 2004.
[17]
L. Wehmeyer, U. Helmig, and P. Marwedel. Compiler-optimized usage of partitioned memories. In Proceedings of the 3rd workshop on Memory performance issues (WMPI), pages 114--120. ACM Press, 2004.
[18]
P. R. Wilson, M. S. Johnstone, M. Neely, and D. Boles. Dynamic storage allocation: A survey and critical review. In Proceedings of the International Workshop on Memory Management (IWMM), 1995.
[19]
W. A. Wulf and S. A. McKee. Hitting the Memory Wall: Implications of the Obvious. IEEE Computer Architecture News, 23(1), March 1995.
[20]
Q. Zhao, R. Rabbah, and W.-F. Wong. Dynamic memory optimization using pool allocation and prefetching. SIGARCH Computer Architecture News, 33(5):27--32, 2005.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
SCOPES '07: Proceedingsof the 10th international workshop on Software & compilers for embedded systems
April 2007
127 pages
ISBN:9781450378345
DOI:10.1145/1269843
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 20 April 2007

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Acceptance Rates

Overall Acceptance Rate 38 of 79 submissions, 48%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2022)MandhelingProceedings of the 28th Annual International Conference on Mobile Computing And Networking10.1145/3495243.3560545(214-227)Online publication date: 14-Oct-2022
  • (2021)OptimizationEmbedded System Design10.1007/978-3-030-60910-8_7(349-379)Online publication date: 26-Jan-2021
  • (2017)Memory-Aware Optimization of Embedded Software for Multiple ObjectivesHandbook of Hardware/Software Codesign10.1007/978-94-017-7358-4_27-2(1-37)Online publication date: 17-Apr-2017
  • (2017)Memory-Aware Optimization of Embedded Software for Multiple ObjectivesHandbook of Hardware/Software Codesign10.1007/978-94-017-7267-9_27(829-865)Online publication date: 27-Sep-2017
  • (2016)Automatic management of Software Programmable Memories in Many-core ArchitecturesIET Computers & Digital Techniques10.1049/iet-cdt.2016.002410:6(288-298)Online publication date: 1-Nov-2016
  • (2015)Managing hybrid on-chip scratchpad and cache memories for multi-tasking embedded systemsThe 20th Asia and South Pacific Design Automation Conference10.1109/ASPDAC.2015.7059043(423-428)Online publication date: Jan-2015
  • (2014)SPMCloudACM Transactions on Design Automation of Electronic Systems10.1145/261175519:3(1-45)Online publication date: 23-Jun-2014
  • (2014)Embedded RAIDs-on-chip for bus-based chip-multiprocessorsACM Transactions on Embedded Computing Systems10.1145/253331613:4(1-36)Online publication date: 10-Mar-2014
  • (2014)CASA: Contention-Aware Scratchpad Memory Allocation for Online Hybrid On-Chip Memory ManagementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2014.236338533:12(1806-1817)Online publication date: Dec-2014
  • (2012)Dynamic Allocation of SPM Based on Time-Slotted Cache Conflict Graph for System OptimizationIEICE Transactions on Information and Systems10.1587/transinf.E95.D.2039E95.D:8(2039-2052)Online publication date: 2012
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media