Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Managing energy-performance tradeoffs for multithreaded applications on multiprocessor architectures

Published: 12 June 2007 Publication History

Abstract

In modern computers, non-performance metrics such as energy consumption have become increasingly important, requiring tradeoff with performance. A recent work has proposed performance-guaranteed energy management, but it is designed specifically for sequential applications and cannot be used to a large class of multithreaded applications running on high end computers and data servers.
To address the above problem, this paper makes the first attempt to provide performance-guaranteed energy management for multithreaded applications on multiprocessor architectures. We first conduct a comprehensive study on the effects of energy adaptation on thread synchronizations and show that a multithreaded application suffers from not only local slowdowns due to energy adaptation, but also significant slowdowns propagated from other threads because of synchronization. Based on these findings, we design three Synchronization-Aware (SA) algorithms, LWT (Lock Waiting Time-based), CSL (Critical Section Length-based) and ODP (Operation Delay Propagation-based) algorithms, to estimate the energy adaptation-induced slowdowns on each thread. The local slowdowns are then combined across multiple threads via three aggregation methods (MAX, AVG and SUM) to estimate the overall application slowdown.
We evaluate our methods using a large multithreaded commercial application, IBM DB2 with industrial-strength online transaction processing (OLTP) workloads, and six SPLASH parallel scientific applications. Our experimental results show that LWT combined with the MAX aggregation method not only controls the performance slow down within the specified limits but also conserves the most energy.

References

[1]
Intel Thread Profiler, http://www.intel.com/software/products/threading/tp/
[2]
D. H. Albonesi. Selective Cache Ways: On-demand Cache Resource Allocation. In Proceedings of the 32nd International Symposium on Microarchitecture, Nov. 1999
[3]
R. Hankins, T. Diep, M. Annavaram, B. Hirano, H. Eri, H. Nueckel, and J. P. Shen. Scaling and Characterizing Database Workloads: Bridging the Gap between Research and Practice. In Proceedings of the 36th International Symposium on Microarchitecture, 2003
[4]
C. Isci, A. Buyuktosunoglu, C. -Y. Cher, P. Bose, and M. Martonosi. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. In Proccedings of the 39th International Symposium on Microarchitecture, 2006
[5]
A. R. Lebeck, X. Fan, H. Zeng, and C. S. Ellis. Power Aware Page Allocation. In Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000
[6]
C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler and T. W. Keller. Energy Management for Commercial Servers. In IEEE Computer, pp.39--48, Dec. 2003
[7]
J. Li, J. F. Martinez, and M. C. Huang. The Thrifty Barrier: Energy-Aware Synchronization in Shared-Memory Multiprocessors. In Proceedings of International Symposium on High Performance Computer Architecture, Feb. 2004
[8]
T. Li, A. R. Lebeck, and D. J. Sorin. Spin Detection Hardware for Improved Management of Multithreaded Systems. In IEEE Transactions on Parallel and Distributed Systems, Vol.17, No.6, June 2006
[9]
X. Li, Z. Li, P. Zhou, Y. Zhou, S. Adve, and S. Kumar. Performance-Directed Energy Management for Storage Systems. In IEEE Micro Special Issue on Top Picks from Microarchitecture Conference, Dec. 2004
[10]
P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, and B. Werner. Simics: A Full System Simulation Platform. In IEEE Computer, 2002
[11]
M. M. K. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood. Multifacet's General Execution-Driven Multiprocessor Simulator (GEMS) Toolset. In Computer Architecture News, 2005
[12]
D. McWherter, B. Schroeder, A. Ailamaki, and M. Harchol-Balter. Priority Mechanisms for OLTP and Transactional Web Applications. In Proccedings of the 20th International Conference on Data Engineering, 2004
[13]
F. Moore. More Power Needed. In Energy User News, Nov. 2002
[14]
B. A. Nayfeh, L. Hammond, and K. Olukotun. Evaluation of Design Alternatives for a Multiprocessor Microprocessor. In Proceedings of the 23rd International Symposium on Computer Architecture, May 1996
[15]
Rambus, http://www.rambus.com
[16]
G. A. Reis, J. Chang, N. Vachharajani, R. Rangan, D. I. August, and S. S. Mukherjee. Software-controlled Fault Tolerance. In ACM Transactions on Architecture and Code Optimization, Vol.2, No.4, Dec. 2005
[17]
M. Rosenblum, E. Bugnion, S. A. Herrod, E. Witchel, and A. Gupta. The Impact of Architectural Trends on Operating System Performance. In Proceedings of the 15th ACM Symposium on Operating Systems Principles, 1995
[18]
M. Weiser, Brent Welch, Alan Demers, and Scott Shenker. Scheduling for Reduced CPU Energy. In Proceedings of the 1st Symposium on Operating Systems Design and Implementation, Nov. 1994

Cited By

View all
  • (2019)Green Power Constrained Scheduling for Sequential Independent Tasks on Identical Parallel Machines2019 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom)10.1109/ISPA-BDCloud-SustainCom-SocialCom48970.2019.00029(132-139)Online publication date: Dec-2019
  • (2016)Synergistic timing speculation for multi-threaded programsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898102(1-6)Online publication date: 5-Jun-2016
  • (2015)SLA-Aware Dynamic CPU Scaling in Business Cloud Computing EnvironmentsProceedings of the 2015 IEEE 8th International Conference on Cloud Computing10.1109/CLOUD.2015.115(836-843)Online publication date: 27-Jun-2015
  • Show More Cited By

Index Terms

  1. Managing energy-performance tradeoffs for multithreaded applications on multiprocessor architectures

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM SIGMETRICS Performance Evaluation Review
        ACM SIGMETRICS Performance Evaluation Review  Volume 35, Issue 1
        SIGMETRICS '07 Conference Proceedings
        June 2007
        382 pages
        ISSN:0163-5999
        DOI:10.1145/1269899
        Issue’s Table of Contents
        • cover image ACM Conferences
          SIGMETRICS '07: Proceedings of the 2007 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
          June 2007
          398 pages
          ISBN:9781595936394
          DOI:10.1145/1254882
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 12 June 2007
        Published in SIGMETRICS Volume 35, Issue 1

        Check for updates

        Author Tags

        1. energy and performance tradeoffs
        2. low power design
        3. memory energy management
        4. multithreaded applications

        Qualifiers

        • Article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)1
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 04 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2019)Green Power Constrained Scheduling for Sequential Independent Tasks on Identical Parallel Machines2019 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom)10.1109/ISPA-BDCloud-SustainCom-SocialCom48970.2019.00029(132-139)Online publication date: Dec-2019
        • (2016)Synergistic timing speculation for multi-threaded programsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898102(1-6)Online publication date: 5-Jun-2016
        • (2015)SLA-Aware Dynamic CPU Scaling in Business Cloud Computing EnvironmentsProceedings of the 2015 IEEE 8th International Conference on Cloud Computing10.1109/CLOUD.2015.115(836-843)Online publication date: 27-Jun-2015
        • (2014)Multi Objective Optimization of HPC Kernels for Performance, Power, and EnergyHigh Performance Computing Systems. Performance Modeling, Benchmarking and Simulation10.1007/978-3-319-10214-6_12(239-260)Online publication date: 1-Oct-2014
        • (2013)A Cache Tuning Heuristic for Multicore ArchitecturesIEEE Transactions on Computers10.1109/TC.2013.4462:8(1570-1583)Online publication date: 1-Aug-2013
        • (2012)The Need for Power Debugging in the Multi-Core EnvironmentIEEE Computer Architecture Letters10.1109/L-CA.2012.111:2(57-60)Online publication date: 1-Jul-2012
        • (2010)Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumptionProceedings of the 2010 ACM Symposium on Applied Computing10.1145/1774088.1774195(521-526)Online publication date: 22-Mar-2010
        • (2010)AppFlowProceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing10.1109/GreenCom-CPSCom.2010.103(103-111)Online publication date: 18-Dec-2010
        • (2017)Understanding and overcoming parallelism bottlenecks in ForkJoin applicationsProceedings of the 32nd IEEE/ACM International Conference on Automated Software Engineering10.5555/3155562.3155657(765-775)Online publication date: 30-Oct-2017
        • (2017)DEP+BURSTIEEE Transactions on Computers10.1109/TC.2016.260990366:4(601-615)Online publication date: 1-Apr-2017
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media