Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1353629.1353633acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm

Published: 13 April 2008 Publication History
  • Get Citation Alerts
  • Abstract

    Physical synthesis tools are responsible for achieving timing closure. Starting with 130nm designs, multiple cycles are required to cross the chip, making latch placement critical to success. We present a new physical synthesis optimization for latch placement called RUMBLE (Rip Up and Move Boxes with Linear Evaluation) that uses a linear timing model to optimize timing by simultaneously re-placing multiple gates. RUMBLE runs incrementally and in conjunction with static timing analysis to improve the timing for critical paths that have already been optimized by placement, gate sizing, and buffering. Experimental results validate the effectiveness of the approach: our techniques improve slack by 41.3% of cycle time on average for a large commercial ASIC design

    References

    [1]
    C. J. Alpert, C. Chu, and P. G. Villarrubia, "The Coming of Age of Physical Synthesis," ICCAD, 2007, pp. 246--249.
    [2]
    C. J. Alpert, et al., "Fast and Flexible Buffer Trees that Navigate the Physical Layout Environment," DAC, 2004, pp. 24--29.
    [3]
    C. J. Alpert, et al., "Accurate Estimation of Global Buffer Delay Within a Floorplan," TCAD, 2006, vol. 25, no. 6, pp. 1140--1146.
    [4]
    C. J. Alpert, et al., "Techniques for Fast Physical Synthesis," Proc. IEEE, 2007, vol. 95, no. 3, pp. 573--599.
    [5]
    K.-H. Chang, I. L. Markov and V. Bertacco, "Safe Delay Optimization for Physical Synthesis," ASPDAC, 2007, pp. 628--633.
    [6]
    A. Chowdhary, et al., "How Accurately Can We Model Timing In A Placement Engine?," DAC, 2005, pp. 801--806.
    [7]
    J. Cong, L. He, C.-K. Koh and P. H. Madden, "Performance Optimization of VLSI Interconnect Layout," Integration: the VLSI Journal, 1996, vol. 21, pp. 1--94.
    [8]
    T. Luo, D. Newmark and D. Z. Pan, "A New LP Based Incremental Timing Driven Placement for High Performance Designs," DAC, 2006, pp. 1115--1120.
    [9]
    R. Nair, C. Berman, P. Hauge and E. Yoffa, "Generation of Performance Constraints for Layout," TCAD, 1989, vol. 8, no. 8, pp. 860--874.
    [10]
    R. Otten, "Global Wires Harmful?," ISPD, 1998, pp. 104--109.
    [11]
    H. Ren, et al, "Hippocrates: First-Do-No-Harm Detailed Placement" ASPDAC, 2007, pp. 141--146.
    [12]
    S. Sapatnekar, "Timing," Springer-Verlag, New York, 2004.
    [13]
    P. Saxena, N. Menezes, P. Cocchini and D. A. Kirkpatrick, "Repeater Scaling and Its Impact on CAD," TCAD, 2004, vol. 23, no. 4, pp. 451--463.
    [14]
    L. Trevillyan et al., "An Integrated Environment for Technology Closure of Deep-submicron IC Designs," IEEE Des. Test Comput., 2004, vol. 21, no. 1, pp. 14--22.
    [15]
    Q. Wang, J. Lillis and S. Sanyal, "An LP-Based Methodology for Improved Timing-Driven Placement," Proc. ASPDAC, 2005, pp. 1139--1143.

    Cited By

    View all
    • (2023)Machine Learning Techniques for Pre-CTS Identification of Timing Critical Flip-Flops2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI59464.2023.10238658(1-6)Online publication date: 20-Jun-2023
    • (2022)A PUS based nets weighting mechanism for power, hold, and setup timing optimizationIntegration, the VLSI Journal10.1016/j.vlsi.2022.01.00684:C(122-130)Online publication date: 1-May-2022
    • (2018)OWARUIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.277427737:9(1825-1838)Online publication date: 1-Sep-2018
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISPD '08: Proceedings of the 2008 international symposium on Physical design
    April 2008
    218 pages
    ISBN:9781605580487
    DOI:10.1145/1353629
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 13 April 2008

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. static timing analysis
    2. timing-driven placement

    Qualifiers

    • Research-article

    Conference

    ISPD '08
    Sponsor:
    ISPD '08: International Symposium on Physical Design
    April 13 - 16, 2008
    Oregon, Portland, USA

    Acceptance Rates

    Overall Acceptance Rate 62 of 172 submissions, 36%

    Upcoming Conference

    ISPD '25
    International Symposium on Physical Design
    March 16 - 19, 2025
    Austin , TX , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)15
    • Downloads (Last 6 weeks)1

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Machine Learning Techniques for Pre-CTS Identification of Timing Critical Flip-Flops2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI59464.2023.10238658(1-6)Online publication date: 20-Jun-2023
    • (2022)A PUS based nets weighting mechanism for power, hold, and setup timing optimizationIntegration, the VLSI Journal10.1016/j.vlsi.2022.01.00684:C(122-130)Online publication date: 1-May-2022
    • (2018)OWARUIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.277427737:9(1825-1838)Online publication date: 1-Sep-2018
    • (2016)Drive Strength Aware Cell Movement Techniques for Timing Driven PlacementProceedings of the 2016 on International Symposium on Physical Design10.1145/2872334.2872359(73-80)Online publication date: 3-Apr-2016
    • (2016)Clock-Tree-Aware Incremental Timing-Driven PlacementACM Transactions on Design Automation of Electronic Systems10.1145/285879321:3(1-27)Online publication date: 19-Apr-2016
    • (2016)Evaluating the impact of circuit legalization on incremental optimization techniques2016 29th Symposium on Integrated Circuits and Systems Design (SBCCI)10.1109/SBCCI.2016.7724041(1-6)Online publication date: Aug-2016
    • (2016)Routing-Aware Incremental Timing-Driven Placement2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2016.23(290-295)Online publication date: Jul-2016
    • (2015)Local search algorithms for timing-driven placement under arbitrary delay modelsProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744867(1-6)Online publication date: 7-Jun-2015
    • (2015)ICCAD-2015 CAD contest in incremental timing-driven placement and benchmark suite2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2015.7372671(921-926)Online publication date: Nov-2015
    • (2014)ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite: Special session paper: CAD contest2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2014.7001376(361-366)Online publication date: Nov-2014
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media