Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1366230.1366267acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
research-article

Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture

Published: 05 May 2008 Publication History
  • Get Citation Alerts
  • Abstract

    Multiple Clock Domain processors provide an attractive solution to the increasingly challenging problems of clock distribution and power dissipation. They allow their chips to be partitioned into different clock domains, and each domain's frequency (voltage) to be independently configured. This flexibility adds new dimensions to the Dynamic Voltage and Frequency Scaling problem, while providing better scope for saving energy and meeting performance demands.
    In this paper, we propose a compiler directed approach for MCD-DVFS. We build a formal petri net based program performance model, parameterized by settings of microarchitectural components and resource configurations, and integrate it with our compiler passes for frequency selection. Our model estimates the performance impact of a frequency setting, unlike the existing best techniques which rely on weaker indicators of domain performance such as queue occupancies (used by online methods) and slack manifestation for a particular frequency setting (software based methods).
    We evaluate our method with subsets of SPECFP2000, Mediabench and Mibench benchmarks. Our mean energy savings is 60.39% (versus 33.91% of the best software technique) in a memory constrained system for cache miss dominated benchmarks, and we meet the performance demands. Our ED2 improves by 22.11% (versus 18.34%) for other benchmarks. For a CPU with restricted frequency settings, our energy consumption is within 4.69% of the optimal.

    References

    [1]
    G.Semeraro, G.Magklis, R.Balasubramonian, D.H.Albonesi, S.Dwarkadas, M.L.Scott. Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. In HPCA, pages 29--40, 2002
    [2]
    Q.Wu, P.Juang, M.Martonosi, D.W.Clark. Formal Online Methods for Voltage/Frequency Control in Multiple Clock Domain Microprocessors. In ASPLOS, pages 248--259, 2004
    [3]
    G.Semeraro, D.H.Albonesi, S.G.Dropsho, G.Magklis, S.Dwarkadas, M.L.Scott. Dynamic Frequency and Voltage Control for a Multiple Clock Domain Microarchitecture. In MICRO, pages 356--367, 2002
    [4]
    D.Burger, T.M.Austin. The Simplescalar Toolset, Version 2.0. CS-TR-97-1342. Technical Report, Computer Science Department, Univ. of Wisconsin, June 1997
    [5]
    R.Arun, R.Nagpal, Y.N.Srikant. Compiler-Directed Frequency and Voltage Scaling for a Multiple Clock Domain Microarchitecture. IISc-CSA-TR-2007-13. Tech. Report, Dept. of Comp. Sci. & Automation, Indian Institute of Science, Bangalore, Dec 2007
    [6]
    G.Magklis, M.L.Scott, G.Semeraro, D.H.Albonesi, S.Dropsho. Profile-based Dynamic Voltage and Frequency Scaling for a Multiple Clock Domain Microprocessor. In ISCA, pages 14--25, 2003
    [7]
    R. E. Kessler. The Alpha 21264 Microprocessor. IEEE Micro, 19(2):24--36, 1999
    [8]
    B.Fields, S.Rubin, R.Bodik. Focusing Processor Policies via Critical-Path Prediction. In ISCA, pages 74--85, 2001
    [9]
    M.Rajagopalan, V.H.Allan. Specification of Software Pipelining using Petri Nets. Int. J. of Parallel Program., 22(3):273-?301, 1994
    [10]
    T.Ball, J.R.Larus. Efficient Path Profiling. In MICRO, pages 46--57, 1996
    [11]
    G.Hamerly, E.Perelman, J.Lau, B.Calder. SimPoint 3.0: Faster and More Flexible Program Analysis. In In Workshop on Modeling, Benchmarking and Simulation, 2005
    [12]
    C.K.Luk, R.Muth, H.Patil, R.Weiss, P.G.Lowney, R.Cohn. Profile-Guided post-link Stride Prefetching. In ICS, pages 167--178, 2002
    [13]
    http://suif.stanford.edu
    [14]
    http://www.eecs.harvard.edu/hube/software
    [15]
    Q.Wu, P.Juang, M.Martonosi, D.W.Clark. Voltage and Frequency Control with Adaptive Reaction Time in Multiple Clock Domain Processors. In HPCA, pages 178--189, 2005
    [16]
    H.Yang, R.Govindarajan, G.R.Gao, G.Cai, Z.Hu. Exploiting Schedule Slacks for Rate-Optimal Power-Minimum Software Pipelining. In COLP, 2002
    [17]
    B.A.Fields, R.Bodik, M.D.Hill, C.J. Newburn. Using Interaction Costs for Microarchitectural Bottleneck Analysis. In MICRO, pages 228--239, 2003
    [18]
    Y.Zhu, D.H.Albonesi, A.Buyuktosunoglu. A High Performance, Energy Efficient GALS Processor Microarchitecture with Reduced Implementation Complexity. In ISPASS, 2005
    [19]
    A.V.Aho, M.S.Lam, R.Sethi, J.D.Ullman. Compilers: Principles, Techniques and Tools, Chapter 9. Machine Independent Optimizations. Addison-Wesley, Second Edition, 2007
    [20]
    Y.Wu, A.A.Tabatabai, D.A.Berson, J.Fang, R.Gupta. Hierarchical Software Path Profiling. US Patent 6848100, Jan 2005
    [21]
    T.S.Karkhanis, J.E.Smith. A First-Order Superscalar Processor Model. In ISCA, pages 338--349, 2004
    [22]
    D.Brooks, V.Tiwari, M.Martonosi, Wattch: A Framework for Architectural-Level Power Analysis and Optimizations, In ISCA, pages 83--94, 2000
    [23]
    P.J.Joseph, K.Vaswani, M.J.Thazhuthaveetil. A Predictive Performance Model for Superscalar Processors. In MICRO, pages 161--170, 2006
    [24]
    G.Magklis, P.Chaparro, J.Gonzalez, A.Gonzalez. Independent Front-end and Back-end Dynamic Voltage Scaling for a GALS Microarchitecture. In ISLPED, pages 49--54, 2006
    [25]
    Q.Wu, M.Martonosi, D.W.Clark, V.J.Reddi, D.Connors, Y.Wu, J.Lee, D.Brooks. Dynamic Compiler-Driven Control for Microprocessor Energy and Performance. IEEE MICRO, 26(1):119--129, 2006
    [26]
    C.H.Hsu, U.Kremer. The Design, Implementation, and Evaluation of a Compiler Algorithm for CPU Energy Reduction. In PLDI, pages 38--48, 2003.

    Cited By

    View all
    • (2022)CalipersProceedings of the 36th ACM International Conference on Supercomputing10.1145/3524059.3532390(1-14)Online publication date: 28-Jun-2022
    • (2017)Energy-Efficient Compilation of Irregular Task-Parallel LoopsACM Transactions on Architecture and Code Optimization10.1145/313606314:4(1-29)Online publication date: 14-Nov-2017
    • (2016)How does code obfuscation impact energy usage?Journal of Software: Evolution and Process10.1002/smr.176228:7(565-588)Online publication date: 1-Jul-2016
    • Show More Cited By

    Index Terms

    1. Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      CF '08: Proceedings of the 5th conference on Computing frontiers
      May 2008
      334 pages
      ISBN:9781605580777
      DOI:10.1145/1366230
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 05 May 2008

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. dvs
      2. dynamic energy
      3. energy
      4. multiple clock domains

      Qualifiers

      • Research-article

      Conference

      CF '08
      Sponsor:
      CF '08: Computing Frontiers Conference
      May 5 - 7, 2008
      Ischia, Italy

      Acceptance Rates

      Overall Acceptance Rate 273 of 785 submissions, 35%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)1

      Other Metrics

      Citations

      Cited By

      View all
      • (2022)CalipersProceedings of the 36th ACM International Conference on Supercomputing10.1145/3524059.3532390(1-14)Online publication date: 28-Jun-2022
      • (2017)Energy-Efficient Compilation of Irregular Task-Parallel LoopsACM Transactions on Architecture and Code Optimization10.1145/313606314:4(1-29)Online publication date: 14-Nov-2017
      • (2016)How does code obfuscation impact energy usage?Journal of Software: Evolution and Process10.1002/smr.176228:7(565-588)Online publication date: 1-Jul-2016
      • (2015)Optimising energy consumption of design patternsProceedings of the 37th International Conference on Software Engineering - Volume 210.5555/2819009.2819120(623-626)Online publication date: 16-May-2015
      • (2015)Optimising Energy Consumption of Design Patterns2015 IEEE/ACM 37th IEEE International Conference on Software Engineering10.1109/ICSE.2015.208(623-626)Online publication date: May-2015
      • (2014)SEEDS: a software engineer's energy-optimization decision support frameworkProceedings of the 36th International Conference on Software Engineering10.1145/2568225.2568297(503-514)Online publication date: 31-May-2014
      • (2013)Dynamic Power Management Technique for Multicore Based Embedded Mobile DevicesIEEE Transactions on Industrial Informatics10.1109/TII.2012.22322999:3(1601-1612)Online publication date: Aug-2013
      • (2012)Initial explorations on design pattern energy usageProceedings of the First International Workshop on Green and Sustainable Software10.5555/2663779.2663789(55-61)Online publication date: 3-Jun-2012
      • (2012)Initial explorations on design pattern energy usage2012 First International Workshop on Green and Sustainable Software (GREENS)10.1109/GREENS.2012.6224257(55-61)Online publication date: Jun-2012
      • (2011)Evaluation of dynamic voltage and frequency scaling for stream programsProceedings of the 8th ACM International Conference on Computing Frontiers10.1145/2016604.2016654(1-10)Online publication date: 3-May-2011
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media