Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors

Published: 01 June 2008 Publication History

Abstract

Within-die process variation causes individual cores in a ChipMultiprocessor (CMP) to differ substantially in both static powerconsumed and maximum frequency supported. In this environment,ignoring variation effects whenscheduling applications or when managing power withDynamic Voltage and Frequency Scaling (DVFS) is suboptimal. This paper proposes variation-aware algorithms for applicationscheduling and power management. One such power managementalgorithm, called {\em LinOpt}, uses linear programmingto find the best voltage and frequency levels for each of thecores in the CMP --- maximizing throughput at a given power budget.In a 20-core CMP, the combination of variation-awareapplication scheduling and {\em LinOpt} increases the averagethroughput by 12--17\% and reduces the average $ED^2$ by 30--38\%--- all relative to using variation-awarescheduling together with a simple extension to Intel's Foxtonpower management algorithm.

References

[1]
S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai, "The impact of performance asymmetry in emerging multicore architectures," in International Symposium on Computer Architecture, June 2005.
[2]
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De, "Parameter variations and impact on circuits and microarchitecture," in Design Automation Conference, June 2003.
[3]
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A framework for architectural-level power analysis and optimizations," in International Symposium on Computer Architecture, June 2000.
[4]
L. Clark, E. Hoffman, J. Miller, M. Biyani, L. Liao, S. Strazdus, M. Morrow, K. Velarde, and M. Yarch, "An embedded 32-b micro-processor core for low-power and high-performance applications," in Journal of Solid-State Circuits, November 2001.
[5]
J. Donald and M. Martonosi, "Power efficiency for variation-tolerant multicore processors," in International Symposium on Low Power Electronics and Design, October 2006.
[6]
J. Dorsey, S. Searles, M. Ciraula, S. Johnson, N. Bujanos, D. Wu, M. Braganza, S. Meyers, E. Fang, and R. Kumar, "An integrated quadcore Opteron processor," in International Solid State Circuits Conference , February 2007.
[7]
D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge, "Razor: A low-power pipeline based on circuit-level timing speculation," in International Symposium on Microarchitecture, December 2003.
[8]
P. Friedberg, Y. Cao, J. Cain, R. Wang, J. Rabaey, and C. Spanos, "Modeling within-die spatial correlation effects for process-design co-optimization," in International Symposium on Quality Electronic Design , March 2005.
[9]
S. Heo, K. Barr, and K. Asanovic, "Reducing power density through activity migration," in International Symposium on Low Power Electronics and Design, August 2003.
[10]
S. Herbert and D. Marculescu, "Analysis of dynamic voltage/frequency scaling in chip-multiprocessors," in International Symposium on Low Power Electronics and Design, August 2007.
[11]
E. Humenay, D. Tarjan, and K. Skadron, "The impact of systematic process variations on symmetrical performance in chip multiprocessors," in Design, Automation and Test in Europe, April 2007.
[12]
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget," in International Symposium on Microarchitecture, December 2006.
[13]
"International Technology Roadmap for Semiconductors (1999)."
[14]
"International Technology Roadmap for Semiconductors (2006 Update)."
[15]
I. Kadayif, M. Kandemir, and I. Kolcu, "Exploiting processor workload heterogeneity for reducing energy consumption in chip multiprocessors," in Design, Automation and Test in Europe, February 2004.
[16]
W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks, "System level analysis of fast, per-core DVFS using on-chip switching regulators," in International Symposium on High-Performance Computer Architecture, February 2008.
[17]
S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi, "Optimization by simulated annealing," in Science, May 1983.
[18]
R. Kumar, K. Farkas, N. Jouppi, P. Ranganathan, and D. Tullsen, "Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction," in International Symposium on Microarchitecture , December 2003.
[19]
J. Li and J.Martínez, "Dynamic power-performance adaptation of parallel computation on chip multiprocessors," in International Symposium on High-Performance Computer Architecture, February 2006.
[20]
X. Liang and D. Brooks, "Mitigating the impact of process variations on processor register files and execution units," in International Symposium on Microarchitecture, December 2006.
[21]
D. Marculescu and E. Talpes, "Variability and energy awareness: A microarchitecture-level perspective," in Design Automation Conference , June 2005.
[22]
R. McGowen, C. A. Poirier, C. Bostak, J. Ignowski, M. Millican, W. H. Parks, and S. Naffziger, "Power and temperature control on a 90-nm Itanium family processor," Journal of Solid-State Circuits, January 2006.
[23]
S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," Transactions on Computer-Aided Design , vol. 24, no. 12, 2005.
[24]
W. H. Press, B. P. Flannery, S. A. Teukolsky, and W. T. Vetterling, Numerical Recipes in C: The Art of Scientific Computing. New York, NY, USA: Cambridge University Press, 1988.
[25]
R Development Core Team, R: A Language and Environment for Statistical Computing. R Foundation for Statistical Computing, 2006. http://www.R-project.org.
[26]
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, K. Strauss, S. Sarangi, P. Sack, and P. Montesinos, "SESC Simulator," January 2005. http://sesc.sourceforge.net.
[27]
P. Ribeiro Jr. and P. Diggle, "geoR: A package for geostatistical analysis," R-NEWS, vol. 1, no. 2, 2001.
[28]
B. F. Romanescu, S. Ozev, and D. J. Sorin, "Quantifying the impact of process variability on microprocessor behavior," in Workshop on Architectural Reliability, December 2006.
[29]
T. Sakurai and R. Newton, "Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas," Journal of Solid-State Circuits, April 1990.
[30]
S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas, "VARIUS: A model of process variation and resulting timing errors for microarchitects," in IEEE Transactions on Semiconductor Manufacturing, February 2008.
[31]
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, "Temperature-aware microarchitecture," in International Symposium on Computer Architecture, June 2003.
[32]
A. Snavely and D. M. Tullsen, "Symbiotic job scheduling for a simultaneous multithreaded processor," in Architectural Support for Programming Languages and Operating Systems, November 2000.
[33]
K. Srinivasan and K. S. Chatha, "Integer linear programming and heuristic techniques for system-level low power scheduling on multiprocessor architectures under throughput constraints," Integration VLSI, vol. 40, no. 3, 2007.
[34]
K. Stavrou and P. Trancoso, "Thermal-aware scheduling: A solution for future chip multiprocessors' thermal problems," in EUROMICRO Conference on Digital System Design, 2006.
[35]
H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif, "Full chip leakage estimation considering power supply and temperature variations," in International Symposium on Low Power Electronics and Design, August 2003.
[36]
D. Tarjan, S. Thoziyoor, and N. P. Jouppi, "CACTI 4.0," Tech. Rep. HPL-2006-86, HP Labs, 2006.
[37]
R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas, "Mitigating parameter variation with dynamic fine-grain body biasing," in International Symposium on Microarchitecture, December 2007.
[38]
E. Toyoda, "DFM: Device and circuit design challenges," in International Forum on Semiconductor Technology, February 2004.
[39]
Y. Zhang, D. Parikh, K. Sankaranarayanan, K. Skadron, and M. Stan, "HotLeakage: A temperature-aware model of subthreshold and gate leakage for architects," Tech. Rep. CS-2003-05, University of Virginia, March 2003.

Cited By

View all
  • (2023)A DVFS Design and Simulation Framework Using Machine Learning ModelsIEEE Design & Test10.1109/MDAT.2021.311927940:1(52-61)Online publication date: Feb-2023
  • (2023)Fault-Tolerant General Purposed ProcessorsBuilt-in Fault-Tolerant Computing Paradigm for Resilient Large-Scale Chip Design10.1007/978-981-19-8551-5_3(117-168)Online publication date: 2-Mar-2023
  • (2022)Applying Game-Learning Environments to Power Capping Scenarios via Reinforcement LearningCloud Computing, Big Data & Emerging Topics10.1007/978-3-031-14599-5_7(91-106)Online publication date: 5-Aug-2022
  • Show More Cited By

Index Terms

  1. Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors

                  Recommendations

                  Comments

                  Information & Contributors

                  Information

                  Published In

                  cover image ACM SIGARCH Computer Architecture News
                  ACM SIGARCH Computer Architecture News  Volume 36, Issue 3
                  June 2008
                  449 pages
                  ISSN:0163-5964
                  DOI:10.1145/1394608
                  Issue’s Table of Contents
                  • cover image ACM Conferences
                    ISCA '08: Proceedings of the 35th Annual International Symposium on Computer Architecture
                    June 2008
                    449 pages
                    ISBN:9780769531748

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  Published: 01 June 2008
                  Published in SIGARCH Volume 36, Issue 3

                  Check for updates

                  Author Tags

                  1. Process variation
                  2. application scheduling
                  3. power management

                  Qualifiers

                  • Article

                  Contributors

                  Other Metrics

                  Bibliometrics & Citations

                  Bibliometrics

                  Article Metrics

                  • Downloads (Last 12 months)15
                  • Downloads (Last 6 weeks)0
                  Reflects downloads up to 06 Oct 2024

                  Other Metrics

                  Citations

                  Cited By

                  View all
                  • (2023)A DVFS Design and Simulation Framework Using Machine Learning ModelsIEEE Design & Test10.1109/MDAT.2021.311927940:1(52-61)Online publication date: Feb-2023
                  • (2023)Fault-Tolerant General Purposed ProcessorsBuilt-in Fault-Tolerant Computing Paradigm for Resilient Large-Scale Chip Design10.1007/978-981-19-8551-5_3(117-168)Online publication date: 2-Mar-2023
                  • (2022)Applying Game-Learning Environments to Power Capping Scenarios via Reinforcement LearningCloud Computing, Big Data & Emerging Topics10.1007/978-3-031-14599-5_7(91-106)Online publication date: 5-Aug-2022
                  • (2021)Intelligent Management of Mobile Systems Through Computational Self-AwarenessHandbook of Research on Methodologies and Applications of Supercomputing10.4018/978-1-7998-7156-9.ch004(41-73)Online publication date: 2021
                  • (2021)Energy-Efficient Task Partitioning for Real-Time Scheduling on Multi-Core PlatformsComputers10.3390/computers1001001010:1(10)Online publication date: 8-Jan-2021
                  • (2021)PVMC: Task Mapping and Scheduling under Process Variation Heterogeneity in Mixed-Criticality SystemsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2021.3072286(1-1)Online publication date: 2021
                  • (2020)An Efficient and Flexible Learning Framework for Dynamic Power and Thermal Co-ManagementProceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD10.1145/3380446.3430640(117-122)Online publication date: 16-Nov-2020
                  • (2020)Chip-Specific Power Delivery and Consumption Co-Management for Process-Variation-Aware Manycore Systems Using Reinforcement LearningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.296686628:5(1150-1163)Online publication date: May-2020
                  • (2020)Balanced Application Scheduling for Process Variation Influenced Chip Multiprocessors2020 28th Iranian Conference on Electrical Engineering (ICEE)10.1109/ICEE50131.2020.9260710(1-5)Online publication date: 4-Aug-2020
                  • (2020)The Existence of Consensus Equilibria for Data Trading under the Framework of Internet of Things (IoT) with Blockchain EcosystemsProcedia Computer Science10.1016/j.procs.2020.06.056174(55-65)Online publication date: 2020
                  • Show More Cited By

                  View Options

                  Get Access

                  Login options

                  View options

                  PDF

                  View or Download as a PDF file.

                  PDF

                  eReader

                  View online with eReader.

                  eReader

                  Media

                  Figures

                  Other

                  Tables

                  Share

                  Share

                  Share this Publication link

                  Share on social media