Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1454115.1454151acmconferencesArticle/Chapter ViewAbstractPublication PagespactConference Proceedingsconference-collections
research-article

Prediction models for multi-dimensional power-performance optimization on many cores

Published: 25 October 2008 Publication History

Abstract

Power has become a primary concern for HPC systems. Dynamic voltage and frequency scaling (DVFS) and dynamic concurrency throttling (DCT) are two software tools (or knobs) for reducing the dynamic power consumption of HPC systems. To date, few works have considered the synergistic integration of DVFS and DCT in performance-constrained systems, and, to the best of our knowledge, no prior research has developed application-aware simultaneous DVFS and DCT controllers in real systems and parallel programming frameworks. We present a multi-dimensional, online performance predictor, which we deploy to address the problem of simultaneous runtime optimization of DVFS and DCT on multi-core systems. We present results from an implementation of the predictor in a runtime library linked to the Intel OpenMP environment and running on an actual dual-processor quad-core system. We show that our predictor derives near-optimal settings of the power-aware program adaptation knobs that we consider. Our overall framework achieves significant reductions in energy (19% mean) and ED2 (40% mean), through simultaneous power savings (6% mean) and performance improvements (14% mean). We also find that our framework outperforms earlier solutions that adapt only DVFS or DCT, as well as one that sequentially applies DCT then DVFS. Further, our results indicate that prediction-based schemes for runtime adaptation compare favorably and typically improve upon heuristic search-based approaches in both performance and energy savings.

References

[1]
M. Azimi, N. Cherukuri, D. Jayashima, A. Kumar, P. Kundu, S. Park, I. Schoinas, and A. Vaidya. Integration Challenges and Tradeoffs for Tera-scale Architectures. Intel Technology Journal, August 2007.
[2]
S. Browne, J. Dongarra, N. Garner, K. London, and P. Mucci. A Scalable Cross-Platform Infrastructure for Application Performance Tuning Using Hardware Counters. In Proc. of Supercomputing'2000, November 2000.
[3]
E. V. Carrera, E. Pinheiro, and R. Bianchini. Conserving Disk Energy in Network Servers. In Proc. of the 17th International Conference on Supercomputing, June 2003.
[4]
K. Chakraborty, P. Wells, and G. Sohi. A Case for an Over-provisioned Multicore System: Energy Efficient Processing of Multithreaded Programs. Technical Report TR-1607, Department of Computer Sciences, University of Wisconsin-Madison, 2007.
[5]
M. Curtis-Maury, F. Blagojevic, C. D. Antonopoulos, and D. S. Nikolopoulos. Prediction-Based Power-Performance Adaptation of Multithreaded Scientific Codes. IEEE Transactions on Parallel and Distributed Systems. Accepted, to appear, 2008.
[6]
M. Curtis-Maury, J. Dzierwa, C. Antonopoulos, and D. Nikolopoulos. Online Power-Performance Adaptation of Multithreaded Programs using Hardware Event-Based Prediction. In Proc. of the International Conference on Supercomputing, June 2006.
[7]
B. Diniz, D. O. G. Neto, W. Meira Jr., and R. Bianchini. Limiting the Power Consumption of Main Memory. In Proc. of the International Symposium on Computer Architectures, June 2007.
[8]
R. Ge, X. Feng, and K. W. Cameron. Performance constrained Distributed DVS Scheduling for Scientific Applications on Power-aware Clusters. In Proc. of Supercomputing, November 2005.
[9]
C.-H. Hsu and W. Feng. A Power-Aware Run-Time System for High-Performance Computing. In Proc. of Supercomputing'05, November 2005.
[10]
C. Huang, O. Lawlor, and L. Kale. Adaptive MPI. In Proc. of the 16th International Workshop on Languages and Compilers for Parallel Computing, LNCS 2948, 2003.
[11]
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. In Proc. of the International Symposium on Microarchitecture, December 2006.
[12]
C. Isci and M. Martonosi. Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data. In Proc. of the Annual International Symposium on Microarchitecture, December 2003.
[13]
E. Joseph, A. Snell, C. G. Willard, S. Tichenor, D. Shaffer, and S. Conway. Council on Competitiveness Study of ISVs Serving the High Performance Computing Market. July 2005.
[14]
T.S. Karkhanis and J.E. Smith. A First-Order Superscalar Processor Model. In Proc. of the 31st International Symposium on Computer Architecture, June 2004.
[15]
S. Kumar, H. Raj, K. Schwan, and I. Ganev. Re-architecting VMMs for Multicore Systems: The Sidecore Approach. In Proc. of the 2007 Workshop on the Interaction between Operating Systems and Computer Architecture, June 2007.
[16]
B. C. Lee and D. M. Brooks. Accurate and Efficient Regression Modeling for Microarchitectural Performance and Power Prediction. In Proc. of the International Conference on Architectural Support for Programming Languages and Operating Systems, October 2006.
[17]
B. C. Lee, D. M. Brooks, B. R. de Supinski, M. Schulz, K. Singh, and S. A. McKee. Methods of Inference and Learning for Performance Modeling of Parallel Applications. In Proc. of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, November 2007.
[18]
J. Li and J. Martinez. Dynamic Power-Performance Adaptation of Parallel Computation on Chip Multi-Processors. In Proc. of the International Symposium on High Performance Computer Architecture, February 2006.
[19]
Y. Li and B. C. Lee and D. Brooks and Z. Hu and K. Skadron. CMP Design Space Exploration Subject to Physical Constraints. In Proc. of the IEEE International Symposium on High PerformanceComputer Architecture, February 2006.
[20]
C. Liu, A. Sivasubramaniam, M. T. Kandemir, and M. J. Irwin. Exploiting Barriers to Optimize Power Consumption of CMPs. In Proc. of the 19th International Parallel and Distributed Processing Symposium, April 2005.
[21]
A. Merkel and F. Bellosa. Balancing Power Consumption in Multiprocessor Systems. In Proc. of EuroSys Conference, April 2006.
[22]
T. Moseley, J. Kim, D. Connors, and D. Grunwald. Methods for Modeling Resource Contention on Simultaneous Multithreaded Processors. In Proc. of the 2005 International Conference on Computer Design, October 2005.
[23]
V. Pallipadi and A. Starikovskiy. The Ondemand Governor. In Proc. of the Ottawa Linux Symposium, July 2006.
[24]
S. Park, W. Jiang, Y. Zhou, and S. V. Adve. Managing Energy-Performance Tradeoffs for Multithreaded Applications on Multiprocessor Architectures. In Proceedings of the 2007 ACM SIGMETRICS, June 2007.
[25]
R. Springer, D. K. Lowenthal, B. Rountree, and V. W. Freeh. Minimizing Execution Time in MPI Programs on an Energy-Constrained, Power-Scalable Cluster. In Proc. of the Symposium on Principles and Practice of Parallel Programming, March 2006.
[26]
A. Varma, B. Ganesh, M. Sen, S. R. Choudhury, L. Srinivasan, and B. L. Jacob. A Control-Theoretic Approach to Dynamic Voltage Scheduling. In Proc. of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, October 2003.
[27]
A. Weissel and F. Bellosa. Process Cruise Control: Event-Driven Clock Scaling for Dynamic Power Management. In Proc. of the International Conference on Compilers, Architectures and Synthesis of Embedded Systems, October 2002.
[28]
Q. Wu, P. Juang, M. Martonosi, and D. W. Clark. Formal Online Methods for Voltage/Frequency Control in Multiple Clock Domain Microprocessors. In Proc. of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2004.
[29]
Q. Wu, M. Martonosi, D. Clark, V. Reddi, D. Connors, Y. Wu, J. Lee, and D. Brooks. Dynamic Compiler-Driven Control for Microprocessor Energy and Performance. IEEE Micro, 26(1), 2006.

Cited By

View all
  • (2024)Architectural and Technological Approaches for Efficient Energy Management in Multicore ProcessorsComputers10.3390/computers1304008413:4(84)Online publication date: 22-Mar-2024
  • (2024)Agnostic Energy Consumption Models for Heterogeneous GPUs in Cloud ComputingApplied Sciences10.3390/app1406238514:6(2385)Online publication date: 12-Mar-2024
  • (2023)Power Constrained Autotuning using Graph Neural Networks2023 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS54959.2023.00060(535-545)Online publication date: May-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
PACT '08: Proceedings of the 17th international conference on Parallel architectures and compilation techniques
October 2008
328 pages
ISBN:9781605582825
DOI:10.1145/1454115
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 25 October 2008

Permissions

Request permissions for this article.

Check for updates

Author Tag

  1. dynamic concurrency throttling

Qualifiers

  • Research-article

Conference

PACT '08
Sponsor:

Acceptance Rates

Overall Acceptance Rate 121 of 471 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)35
  • Downloads (Last 6 weeks)3
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Architectural and Technological Approaches for Efficient Energy Management in Multicore ProcessorsComputers10.3390/computers1304008413:4(84)Online publication date: 22-Mar-2024
  • (2024)Agnostic Energy Consumption Models for Heterogeneous GPUs in Cloud ComputingApplied Sciences10.3390/app1406238514:6(2385)Online publication date: 12-Mar-2024
  • (2023)Power Constrained Autotuning using Graph Neural Networks2023 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS54959.2023.00060(535-545)Online publication date: May-2023
  • (2023)Energy efficient power cap configurations through Pareto front analysis and machine learning categorizationCluster Computing10.1007/s10586-023-04151-227:3(3433-3449)Online publication date: 10-Oct-2023
  • (2022)Lobster: Load Balance-Aware I/O for Distributed DNN TrainingProceedings of the 51st International Conference on Parallel Processing10.1145/3545008.3545090(1-11)Online publication date: 29-Aug-2022
  • (2022)DopiaProceedings of the 27th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming10.1145/3503221.3508421(32-45)Online publication date: 2-Apr-2022
  • (2022)A Survey of Machine Learning for Computer Architecture and SystemsACM Computing Surveys10.1145/349452355:3(1-39)Online publication date: 3-Feb-2022
  • (2021)Combining Dynamic Concurrency Throttling with Voltage and Frequency Scaling on Task-based Programming ModelsProceedings of the 50th International Conference on Parallel Processing10.1145/3472456.3472471(1-11)Online publication date: 9-Aug-2021
  • (2021)ETCF – Energy-Aware CPU Thread Throttling and Workload Balancing Framework for CPU-FPGA Collaborative Environments2021 XI Brazilian Symposium on Computing Systems Engineering (SBESC)10.1109/SBESC53686.2021.9628345(1-8)Online publication date: 22-Nov-2021
  • (2020)RibbonProceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques10.1145/3410463.3414625(427-439)Online publication date: 30-Sep-2020
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media