Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1735023.1735047acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Ultra-fast interconnect driven cell cloning for minimizing critical path delay

Published: 14 March 2010 Publication History

Abstract

In a complete physical synthesis flow, optimization transforms, that can improve the timing on critical paths that are already well-optimized by a series of powerful transforms (timing driven placement, buffering and gate sizing) are invaluable. Finding such a transform is quite challenging, to say nothing of efficiency. This work explores innovative cloning (gate duplication) techniques to improve timing-closure in a physical synthesis environment.
With a buffer-aware interconnect timing model, new polynomial-time optimal algorithms are proposed for timing-driven cloning, including both finding optimal sink partitions (identifying the fan-outs) for the original and the duplicated gates, as well as physical locations for both gates. In particular, we present an O(m)-time optimal algorithm to minimize the worst slack if the original gate is movable, and an O(m log m) algorithm if the original gate is fixed, where $m$ is the number of fan-outs. To the best of our knowledge, this work is the first one considering the timing-driven cloning problem under a buffer-aware interconnect delay model.
For a hundred testcases in 45nm technology node, we demonstrate significant timing improvement due to our cloning techniques as compared to other existing timing-optimization transforms. Extensions to other factors, such as wirelength, FOM and placement obstacles are further discussed.

References

[1]
P. Saxena et al., "Repeater scaling and its impact on CAD," IEEE Trans. CAD, vol. 23, no. 4, pp. 451--463, 2004.
[2]
R. Otten, "Global wires harmful," in ISPD, 1998, pp. 104--109.
[3]
C. J. Alpert et al, "Accurate estimation of global buffer delay within a floorplan," IEEE Trans. CAD, vol. 25, no. 6, pp. 1140--1146, 2006.
[4]
W. Shi, Z. Li, and C. J. Alpert, "Complexity analysis and speedup techniques for optimal buffer insertion with minimum cost," in ASPDAC, 2004, pp. 609--614.
[5]
S. Dhar and M. A. Franklin, "Optimum buffer circuits for driving long uniform lines," IEEE J. Solid-State Circuits, vol. 26, no. 1, 1991, pp. 32--40.
[6]
Z. Li et al., "Making fast buffer insertion even faster via approximation techniques," in ASPDAC, 2005, pp. 13--18.
[7]
C. J. Alpert et al., "Techniques for Fast Physical Synthesis," in Proc. of IEEE, 2007, vol. 95, no. 3, pp. 573--599, 2007.
[8]
R. Kužnar and F. Brglez, "PROP: A recursive paradigm for area-efficient and performance oriented partitioning of large FPGA netlists," in ICCAD, 1995, pp. 644--649.
[9]
D. A. Papa et al., "RUMBLE: An incremental, timing driven, physical-synthesis optimization algorithm," in ISPD, 2008, pp. 2--9. 2007.
[10]
J. Hwang and A. El Gamal, "Optimal replication for min-cut partitioning," in ICCAD, 1992, pp. 432--435.
[11]
G. Chen and J. Cong, "Simultaneous timing-driven placement and duplication," in ISFPGA, 2005, pp. 51--59.
[12]
J. Lillis, C. K. Cheng and T. Y. Lin, "Algorithms for optimal introduction of redundant logic for timing and area optimization," in ISCAS, 1996, pp. 452--455.
[13]
H. Kim, J. Lillis and M. Hrkić, "Techniques for improved placement-coupled logic replication," in GLSVLSI, 2006, pp. 211--216.
[14]
C. Chen and C. Tsui, "Timing optimization of logic network using gate duplication," in ASPDAC, 1999, pp. 233--236.
[15]
D. Baneres, J. Cortadella and M. Kishinevsky, "Layout-aware gate duplication and buffer insertion," in DATE, 2007, pp. 1367--1372.
[16]
A. Srivastava et al., "On the complexity of gate duplication," IEEE Trans. CAD, vol. 20, no. 9, pp. 1170--1176, 2001.
[17]
A. Srivastava et al., "Timing driven gate duplication," IEEE Trans. VLSI, vol. 12, no. 1, pp. 42--51, 2004.
[18]
T. Luo et al., "Pyramids: An Efficient Computational Geometry-based Approach for Timing--driven Placement." in ICCAD, 2008, pp. 204--211.
[19]
T. H. Chao et al., "Zero skew clock routing with minimum wirelength," IEEE. Trans. CAS, vol. 39, no. 11, pp. 799--814, 1992.

Cited By

View all
  • (2024)Fanout-Bounded Logic Synthesis for Emerging TechnologiesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.333944043:5(1415-1428)Online publication date: May-2024
  • (2023)Fanout-Bounded Logic Synthesis for Emerging Technologies - A Top-Down Approach2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137314(1-6)Online publication date: Apr-2023
  • (2020)SFO: A Scalable Approach to Fanout-Bounded Logic Synthesis for Emerging Technologies2020 57th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC18072.2020.9218500(1-6)Online publication date: Jul-2020
  • Show More Cited By

Index Terms

  1. Ultra-fast interconnect driven cell cloning for minimizing critical path delay

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISPD '10: Proceedings of the 19th international symposium on Physical design
      March 2010
      220 pages
      ISBN:9781605589206
      DOI:10.1145/1735023
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • IEEE CAS

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 14 March 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. gate duplication
      2. physical synthesis
      3. timing-driven placement

      Qualifiers

      • Research-article

      Conference

      ISPD '10
      Sponsor:
      ISPD '10: International Symposium on Physical Design
      March 14 - 17, 2010
      California, San Francisco, USA

      Acceptance Rates

      ISPD '10 Paper Acceptance Rate 22 of 70 submissions, 31%;
      Overall Acceptance Rate 62 of 172 submissions, 36%

      Upcoming Conference

      ISPD '25
      International Symposium on Physical Design
      March 16 - 19, 2025
      Austin , TX , USA

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 12 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Fanout-Bounded Logic Synthesis for Emerging TechnologiesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.333944043:5(1415-1428)Online publication date: May-2024
      • (2023)Fanout-Bounded Logic Synthesis for Emerging Technologies - A Top-Down Approach2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137314(1-6)Online publication date: Apr-2023
      • (2020)SFO: A Scalable Approach to Fanout-Bounded Logic Synthesis for Emerging Technologies2020 57th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC18072.2020.9218500(1-6)Online publication date: Jul-2020
      • (2019)Integrated Latch Placement and Cloning for Timing OptimizationACM Transactions on Design Automation of Electronic Systems10.1145/330161324:2(1-17)Online publication date: 9-Feb-2019
      • (2012)$O(mn)$ Time Algorithm for Optimal Buffer Insertion of Nets With $m$ SinksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2011.217463931:3(437-441)Online publication date: 1-Mar-2012
      • (2012)ConclusionsMulti-Objective Optimization in Physical Synthesis of Integrated Circuits10.1007/978-1-4614-1356-1_10(149-155)Online publication date: 8-Aug-2012

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media