Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1837274.1837291acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Thermal monitoring of real processors: techniques for sensor allocation and full characterization

Published: 13 June 2010 Publication History
  • Get Citation Alerts
  • Abstract

    The increased power densities of multi-core processors and the variations within and across workloads lead to runtime thermal hot spots locations of which change across time and space. Thermal hot spots increase leakage, deteriorate timing, and reduce the mean time to failure. To manage runtime thermal variations, circuit designers embed within-die thermal sensors that acquire temperatures at few selected locations. The acquired temperatures are then used to guide runtime thermal management techniques. The capabilities of these techniques are essentially bounded by the spatial thermal resolution of the sensor measurements. In this paper we characterize temperature signals of real processors and demonstrate that on-chip thermal gradients lead to sparse signals in the frequency domain. We exploit this observation to (1) devise thermal sensor allocation techniques, and (2) devise signal reconstruction techniques that fully characterize the thermal status of the processor using the limited number of measurements from the thermal sensors. To verify the accuracy of our methods, we compare our temperature characterization results against thermal measurements acquired from a state-of-the-art infrared camera that captures the mid-band infrared emissions from the back of the die of a 45 nm dual-core processor. Our results show that our techniques are capable of accurately characterizing the temperatures of real processors.

    References

    [1]
    E. Alpaydin. Introduction to Machine Learning. The MIT Press, first edition, 2004.
    [2]
    D. Brooks, R. Dick, R. Joseph, and L. Shang. Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors. IEEE Micro, 27(3):49--62, 2007.
    [3]
    E Candès. Compressive Sampling. Proceedings of the International Congress of Mathematicians, pages 1--20, 2006.
    [4]
    D Donoho, Y Tsaig, I Drori, and J Starck. Sparse Solution of Underdetermined Linear Equations by Stagewise Orthogonal Matching Pursuit. Stanford University Technical Report, Jan 2006.
    [5]
    A. E. Dunlop and B. W. Kernighan. A Procedure for Placement of Standard-Cell VLSI Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 4(1):92--98, 1985.
    [6]
    H. Hamann, A. Weger, J. Lacey, Z. Hu, and P. Bose. Hotspot-Limited Microprocessors: Direct Temperature and Power Distribution Measurements. IEEE Journal of Solid-State Circuits, 42(1):56--65, 2007.
    [7]
    W. Huan, M. R. Stan, K. Sankaranarayanan, R. J. Ribando, and K. Skadron. Many-Core Design from a Thermal Perspective. In Design Automation Conference, pages 746--749, 2008.
    [8]
    S.-C. Lin and K. Banerjee. Cool Chips: Opportunities and Implications for Power and Thermal Management. IEEE Transactions on Electron Devices, 55(1):245--255, 2008.
    [9]
    J. Long, S. Memik, G. Memik, and R. Mukherjee. Thermal Monitoring Mechanisms for Chip Multiprocessors. In ACM Transactions on Architecture and Code Optimization, volume 5(2), pages 9-1--9:23, 2008.
    [10]
    R. McGowen, C. Poirier, C. Bostak, and J. Ignowski. Power and Temperature Control on a 90-nm Itanium Family Processor. IEEE Transactions on Solid-State Circuits, 41(1):229--237, 2006.
    [11]
    S. Memik, R. Mukherjee, M. Ni, and J. Long. Optimizing Thermal Sensor Allocation for Microprocessors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(3):516--527, 2008.
    [12]
    F. J. Mesa-Martinez, M. Brown, J. Nayfach-Battilana, and J. Renau. Measuring Performance, Power, and Temperature from Real Processors. In International Symposium on Computer Architecture, pages 1--10, 2007.
    [13]
    R. Mukherjee and S. Memik. Systematic Temperature Sensor Allocation and Placement for Microprocessors. In Design Automation Conference, pages 542--547, 2006.
    [14]
    M. Pedram and S. Nazarin. Thermal Modeling, Analysis, and Management in VLSI circuits: Principles and Methods. Proceedings of the IEEE, 94(8):1487--1501, 2006.
    [15]
    R. Cochran and S. Reda. Spectral Techniques for High-Resolution Thermal Characterization with Limited Sensor Data. In Design Automation Conference, pages 478--483, 2009.
    [16]
    R. Rao, S. Vrudhula, and C. Chakrabarti. Throughput of Multi-Core Processors Under Thermal Constraints. In International Symposium on Low Power Electronics and Design, pages 201--206, 2007.
    [17]
    E. Rotem, J. Hermerding, C. Aviad, and C. Harel. Temperature Measurement in the Intel Core Duo Processor. In Proceedings of the International Workshop on Thermal Investigations of ICs, pages 23--27, 2006.
    [18]
    Y. Wang, K. Ma, and X. Wang. Temperature-Constrained Power Control for Chip Multiprocessors with Online Model Estimation. In International Symposium on Computer Architecture, pages 314--324, 2009.

    Cited By

    View all
    • (2023)Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature SensorsElectronics10.3390/electronics1213297812:13(2978)Online publication date: 6-Jul-2023
    • (2023)Real-time Thermal Map Estimation for AMD Multi-Core CPUs Using Transformer2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323817(1-7)Online publication date: 28-Oct-2023
    • (2023)Phone‐nomenon 2.0: A compact thermal model for smartphonesIET Computers & Digital Techniques10.1049/cdt2.1205217:2(43-59)Online publication date: 8-Jan-2023
    • Show More Cited By

    Index Terms

    1. Thermal monitoring of real processors: techniques for sensor allocation and full characterization

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        DAC '10: Proceedings of the 47th Design Automation Conference
        June 2010
        1036 pages
        ISBN:9781450300025
        DOI:10.1145/1837274
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 13 June 2010

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. compressive sensing
        2. k-LSE
        3. sensors allocation
        4. spectral methods
        5. thermal characterization

        Qualifiers

        • Research-article

        Funding Sources

        Conference

        DAC '10
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

        Upcoming Conference

        DAC '25
        62nd ACM/IEEE Design Automation Conference
        June 22 - 26, 2025
        San Francisco , CA , USA

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)22
        • Downloads (Last 6 weeks)1
        Reflects downloads up to 27 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature SensorsElectronics10.3390/electronics1213297812:13(2978)Online publication date: 6-Jul-2023
        • (2023)Real-time Thermal Map Estimation for AMD Multi-Core CPUs Using Transformer2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323817(1-7)Online publication date: 28-Oct-2023
        • (2023)Phone‐nomenon 2.0: A compact thermal model for smartphonesIET Computers & Digital Techniques10.1049/cdt2.1205217:2(43-59)Online publication date: 8-Jan-2023
        • (2022)Thermal Sensor Placement for Multicore Systems Based on Low-Complex Compressive Sensing TheoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.314347641:11(5100-5111)Online publication date: Nov-2022
        • (2022)A Nearest-Neighbor-Based Thermal Sensor Allocation and Temperature Reconstruction Method for 3-D NoC-Based Multicore SystemsIEEE Sensors Journal10.1109/JSEN.2022.321895322:24(24186-24196)Online publication date: 15-Dec-2022
        • (2022)A 0.6V–1.8V Compact Temperature Sensor With 0.24 °C Resolution, ±1.4 °C Inaccuracy and 1.06nJ per ConversionIEEE Sensors Journal10.1109/JSEN.2022.317110622:12(11480-11488)Online publication date: 15-Jun-2022
        • (2022)Entropy-based Thermal Sensor Allocation for Temperature-aware Multi-core Platforms2022 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS48785.2022.9937996(2534-2537)Online publication date: 28-May-2022
        • (2022)Temperature Mapping of Stacked Silicon Dies from X-Ray-Diffraction IntensitiesPhysical Review Applied10.1103/PhysRevApplied.18.01407618:1Online publication date: 29-Jul-2022
        • (2021)Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning PerspectiveIEEE Transactions on Computers10.1109/TC.2021.3086112(1-1)Online publication date: 2021
        • (2021)Finding the minimum quantity and the optimum placement of on-die temperature sensor in SOC design based on rank analysis2021 20th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (iTherm)10.1109/ITherm51669.2021.9503273(1177-1182)Online publication date: 1-Jun-2021
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media