Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840888acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM

Published: 18 August 2010 Publication History

Abstract

This paper presents a novel cache architecture using 7T/14T hybrid SRAM, which can dynamically improve its reliability with control lines. Our proposed 14T word-enhancing scheme can enhance its operating margin in word granularity by combining two words in a low-voltage mode. The proposed scheme is suitable for dynamic voltage and frequency scaling (DVFS). In a 65-nm process, it can reduce the minimum operation voltage (Vmin) to 0.5 V, which is 42% and 21% lower, respectively, than the conventional 6T SRAM and the cache word-disable scheme. The respective power reductions are 90% and 65%.

References

[1]
Itoh, K., "Low-voltage scaling limitations for nanoscale CMOS LSIs," International Conference on Ultimate Integration of Silicon (ULIS), pp. 3--6, Mar. 2008.
[2]
Wilkerson, C.; Gao, H.; Alameldeen, A.R.; Chishti, Z.; Khellah, M.; Lu, S.-L., "Trading off Cache Capacity for Reliability to Enable Low Voltage Operation," International Symposium on Computer Architecture (ISCA), pp. 203--214, Jun. 2008
[3]
Ozdemir, S.; Sinha, D.; Memik, G.; Adams, J.; Zhou, H., "Yield-Aware Cache Architectures," Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 15--25, Dec. 2006.
[4]
Agarwal, A.; Paul, B.C.; Mahmoodi, H.; Datta, A.; Roy, K., "A process-tolerant cache architecture for improved yield in nanoscale technologies," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, no. 1, pp. 27--38, Jan. 2005.
[5]
Fujiwara, H.; Okumura S.; Iguchi, Y.; Noguchi, H.; Kawaguchi, H.; and Yoshimoto, M., "A Dependable SRAM with 7T/14T Memory Cells," IEICE Transaction. on Electronics, vol. E92-C, no. 4, pp. 423--432, Apr. 2009.
[6]
Kulkarni, J.P.; Kim, K.; Roy, K., "A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM," IEEE Journal of Solid-State Circuits, vol. 42, no. 10, pp. 2303--2313, Oct. 2007.
[7]
Stackhouse, B.; Bhimji, S.; Bostak, C.; Bradley, D.; Cherkauer, B.; Desai, J.; Francom, E.; Gowan, M.; Gronowski, P.; Krueger, D.; Morganti, C.; Troyer, S., "A 65 nm 2-Billion Transistor Quad-Core Itanium Processor," IEEE Journal of Solid-State Circuits, vol. 44, no.1, pp. 18--31, Jan. 2009.
[8]
Renau, J.; Fraguela, B.; Tuck, J.; Liu, W.; Prvulovic, M.; Ceze, L.; Strauss, K.; Sarangi, S.; Sack, P.; Montesinos, P., "SESC Simulator," Jan. 2005. http://sesc.sourceforge.net.
[9]
Seevinck, E.; List, F.J.; Lohstroh, J., "Static-noise margin analysis of MOS SRAM cells," IEEE Journal of Solid-State Circuits, vol. 22, no.5, pp. 748--754, Oct. 1987.
[10]
Heald, R.; Wang, P., "Variability in sub-100 nm SRAM designs," IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 347--352, Nov. 2004.
[11]
Yoshimoto, M.; Anami, K.; Shinohara, H.; Yoshihara, T.; Takagi,H.; Nagao, S.; Kayano, S.; Nakano, T., "A divided word-line structure in the static RAM and its application to a 64K full CMOS RAM," IEEE Journal of Solid-State Circuits, vol. 18, no.5, pp.479--485, Oct. 1983.

Cited By

View all
  • (2021)A Design of Timing Speculation SRAM-Based L1 Caches With PVT Autotracking Under Near-Threshold VoltagesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2021.312065329:12(2197-2209)Online publication date: Dec-2021
  • (2017)ULV-Turbo Cache for an Instantaneous Performance Boost on Asymmetric ArchitecturesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.264217025:12(3341-3354)Online publication date: Dec-2017
  • (2017)A Novel Cache-Utilization-Based Dynamic Voltage-Frequency Scaling Mechanism for Reliability EnhancementsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.261499325:3(820-832)Online publication date: Mar-2017
  • Show More Cited By

Index Terms

  1. 0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
      August 2010
      458 pages
      ISBN:9781450301466
      DOI:10.1145/1840845
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • IEEE CAS

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 18 August 2010

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. cache memory
      2. fine-grain control
      3. low power
      4. low voltage
      5. microarchitecture
      6. variation

      Qualifiers

      • Poster

      Conference

      ISLPED'10
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 30 Aug 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2021)A Design of Timing Speculation SRAM-Based L1 Caches With PVT Autotracking Under Near-Threshold VoltagesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2021.312065329:12(2197-2209)Online publication date: Dec-2021
      • (2017)ULV-Turbo Cache for an Instantaneous Performance Boost on Asymmetric ArchitecturesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.264217025:12(3341-3354)Online publication date: Dec-2017
      • (2017)A Novel Cache-Utilization-Based Dynamic Voltage-Frequency Scaling Mechanism for Reliability EnhancementsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.261499325:3(820-832)Online publication date: Mar-2017
      • (2016)A novel cache-utilization based dynamic voltage frequency scaling (DVFS) mechanism for reliability enhancementsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2971826(79-84)Online publication date: 14-Mar-2016
      • (2012)0.5-V 4-MB Variation-Aware Cache Architecture Using 7T/14T SRAM and Its Testing SchemeIPSJ Transactions on System LSI Design Methodology10.2197/ipsjtsldm.5.325(32-43)Online publication date: 2012
      • (2012)Bit-Error and Soft-Error Resilient 7T/14T SRAM with 150-nm FD-SOI ProcessIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1587/transfun.E95.A.1359E95.A:8(1359-1365)Online publication date: 2012
      • (2011)0.45-V operating Vt-variation tolerant 9T/18T dual-port SRAM2011 12th International Symposium on Quality Electronic Design10.1109/ISQED.2011.5770728(1-4)Online publication date: Mar-2011
      • (2011)Bit error and soft error hardenable 7T/14T SRAM with 150-nm FD-SOI process2011 International Reliability Physics Symposium10.1109/IRPS.2011.5784596(SE.3.1-SE.3.6)Online publication date: Apr-2011

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media