Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1840845.1840939acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Custom feedback control: enabling truly scalable on-chip power management for MPSoCs

Published: 18 August 2010 Publication History

Abstract

In this paper, we propose Custom Feedback Control, a new dynamic voltage and frequency control architecture for MP-SoC designs that bridges the gap between the two extreme points on the performance versus implementation cost trade-off curve, i.e., fully-centralized and full-decentralized control architectures. We outline a methodology to efficiently explore the vast design space of Custom Feedback control architectures, enabling designers to synthesize controllers that meet both the performance and implementation cost criteria. Our experimental results on an MPSoC platform running a video-encoding application demonstrate that, for the same energy dissipation, Custom Feedback control can achieve within 5% of the performance of a fully-centralized controller with only 17% of the implementation cost. In contrast, the performance of a fully-decentralized controller can be up to 2.5X worse than that of the fully-centralized controller.

References

[1]
S. Carta et al. A control theoretic approach to energy-efficient pipelined computation in MPSoCs. ACM Transactions on Embedded Computing Systems (TECS), 2007.
[2]
T. Ebi et al. Tape: thermal-aware agent-based power economy for multi many-core architectures. In ICCAD, 2009.
[3]
S. Garg et al. Energy and performance bounds on the dvfs control of multiple voltage-frequency island designs. In SRC TECHCON, 2009.
[4]
Y. Hoskote et al. Tera op Prototype Processor with 80 Cores. In HotChips, 2007.
[5]
G. Liu and R. Patton. Eigenstructure assignment for control system design. Wiley, 1998.
[6]
S. Murali et al. Temperature control of high-performance multi-core platforms using convex optimization. In DATE, 2008.
[7]
K. Ogata. Discrete-time control systems. Prentice-Hall Englewood Cli s, NJ, 1987.
[8]
U. Ogras et al. Voltage-frequency island partitioning for GALS-based networks-on-chip. In DAC, 2007.
[9]
U. Ogras et al. Variation-adaptive feedback control for networks-on-chip with multiple clock domains. In DAC, 2008.
[10]
S. Stuijk et al. Exploring trade-offs in buffer requirements and throughput constraints for synchronous data ow graphs. In DAC, 2006.
[11]
D. Truong et al. A 167-processor 65 nm computational platform with per-processor dynamic supply voltage and dynamic clock frequency scaling. In Symposium on VLSI Circuits, 2008.
[12]
Q. Wu et al. Formal online methods for voltage and frequency control in multiple clock domain microprocessors. ACM SIGPLAN Notices, 2004.
[13]
F. Zanini et al. A control theory approach for thermal balancing of mpsoc. In ASP-DAC, 2009.

Cited By

View all
  • (2021)Per-Core Power Modeling for Heterogenous SoCsElectronics10.3390/electronics1019242810:19(2428)Online publication date: 7-Oct-2021
  • (2019)Closed-Loop System to Guarantee Battery Lifetime for Mobile Video ApplicationsIEEE Transactions on Consumer Electronics10.1109/TCE.2019.289117865:1(18-27)Online publication date: 1-Feb-2019
  • (2018)Feedback-Based Admission Control for Firm Real-Time Task Allocation with Dynamic Voltage and Frequency ScalingComputers10.3390/computers70200267:2(26)Online publication date: 16-Apr-2018
  • Show More Cited By

Index Terms

  1. Custom feedback control: enabling truly scalable on-chip power management for MPSoCs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
    August 2010
    458 pages
    ISBN:9781450301466
    DOI:10.1145/1840845
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CAS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 18 August 2010

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. distributed control
    2. dynamic voltage/frequency scaling

    Qualifiers

    • Research-article

    Conference

    ISLPED'10
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 30 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Per-Core Power Modeling for Heterogenous SoCsElectronics10.3390/electronics1019242810:19(2428)Online publication date: 7-Oct-2021
    • (2019)Closed-Loop System to Guarantee Battery Lifetime for Mobile Video ApplicationsIEEE Transactions on Consumer Electronics10.1109/TCE.2019.289117865:1(18-27)Online publication date: 1-Feb-2019
    • (2018)Feedback-Based Admission Control for Firm Real-Time Task Allocation with Dynamic Voltage and Frequency ScalingComputers10.3390/computers70200267:2(26)Online publication date: 16-Apr-2018
    • (2018)Slack-Time Closed-Loop Control System for Multimedia Mobile DevicesIEEE Transactions on Consumer Electronics10.1109/TCE.2018.284328464:2(162-170)Online publication date: May-2018
    • (2018)On-line Optimization of Power Efficiency in 3D Multicore ProcessorsIFAC-PapersOnLine10.1016/j.ifacol.2018.06.29051:7(127-132)Online publication date: 2018
    • (2017)A case for low frequency single cycle multi hop NoCs for energy efficiency and high performanceProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199799(743-750)Online publication date: 13-Nov-2017
    • (2017)Closed-loop power-control governor for multimedia mobile devicesIEEE Transactions on Consumer Electronics10.1109/TCE.2017.01482463:2(153-161)Online publication date: May-2017
    • (2017)A case for low frequency single cycle multi hop NoCs for energy efficiency and high performance2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2017.8203851(743-750)Online publication date: Nov-2017
    • (2016)Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance PenaltyIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.251261124:7(2488-2501)Online publication date: Jul-2016
    • (2016)Real-time power-consumption control system for multimedia mobile devicesIEEE Transactions on Consumer Electronics10.1109/TCE.2016.783808862:4(362-370)Online publication date: Nov-2016
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media