Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1973009.1973076acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Investigating modern layout representations for improved 3d design automation

Published: 02 May 2011 Publication History

Abstract

The current trend towards 3D integration requires new layout representations specifically designed to take 3D-specific constraints into account and to facilitate efficient design algorithms. We observe that it is difficult to compare and evaluate these layout-specific data structures. In this paper, we first present a detailed investigation of modern layout representations while analyzing their solution space and their characteristics, such as redundancy and reachability. Our investigation reveals their potential for 3D applications but also shows open challenges to be considered for (future) representations. Thus, we also provide guidelines for designing efficient layout representations. Finally, we release our investigation methodology as open-source tool, thus providing interested researchers with the opportunity to conduct reasonable evaluations on their own.

References

[1]
http://www.ifte.de/english/research/3d-design/.
[2]
J. Berntsson and M. Tang. A slicing structure representation for the multi-layer floorplan layout problem. EvoWorkshops 2004, LNCS 3005, pp. 188--197, 2004.
[3]
F. Brglez. A D&T special report on ACM/SIGDA design automation benchmarks: Catalyst or anathema? IEEE Design & Test of Computers, 10(3):87--91, 1993.
[4]
H. H. Chan, S. N. Adya, and I. L. Markov. Are floorplan representations important in digital design? ISPD '05, pp. 129--136, 2005.
[5]
L. Cheng, L. Deng, and M. D. F. Wong. Floorplanning for 3-D VLSI design. ASP-DAC '05, pp. 405--411, 2005.
[6]
J. Cong, J. Wei, and Y. Zhang. A thermal-driven floorplanning algorithm for 3D ICs. ICCAD '04, pp. 306--313, 2004.
[7]
Y. Deng and W. P. Maly. Interconnect characteristics of 2.5-D system integration scheme. ISPD '01, pp. 171--175, 2001.
[8]
R. Fischbach, J. Lienig, and T. Meister. From 3D circuit technologies and data structures to interconnect prediction. SLIP '09, pp. 77--84, 2009.
[9]
K. Fujiyoshi, H. Kawai, and K. Ishihara. DTS: A tree based representation for 3D-block packing. ISCAS '07, pp. 1045--1048, 2007.
[10]
Y. Kohira, C. Kodama, K. Fujiyoshi, and A. Takahashi. Evaluation of 3D-packing representations for scheduling of dynamically reconfigurable systems. ISCAS '06, pp. 4487--4490, 2006.
[11]
J. H. Law, E. F. Young, and R. L. Ching. Block alignment in 3D floorplan using layered TCG. GLSVLSI '06, pp. 376--380, 2006.
[12]
Y. Ma, X. Hong, S. Dong, and C. Cheng. 3D CBL: An efficient algorithm for general 3D packing problems. MWSCAS '05, volume 2, pp. 1079--1082, 2005.
[13]
H. Ohta, T. Yamada, C. Kodama, and K. Fujiyosi. The O-Sequence: Representation of 3D-floorplan dissected by rectangular walls. PRIME '06, pp. 317--320, 2006.
[14]
P. H. Shiu, R. Ravichandran, S. Easwar, and S. K. Lim. Multi-layer floorplanning for reliable System-on-Package. ISCAS, volume 5, pp. 69--72, 2004.
[15]
R. Wang, others, R. Wang, E. F. Young, Y. Zhu, F. C. Graham, R. Graham, and C.-K. Cheng. 3-D floorplanning using Labeled Tree and Dual Sequences. ISPD '08, pp. 54--59, 2008.
[16]
R. Wang, E. F. Y. Young, and C.-K. Cheng. Representing topological structures for 3-d floorplanning. ICCCAS '09, pp. 1098--1102, 2009.
[17]
H. Yamagishi, H. Ninomiya, and H. Asai. Three dimensional module packing by simulated annealing. CEC '05, volume 2, pp. 1069--1074, 2005.
[18]
H. Yamazaki, K. Sakanushi, S. Nakatake, and Y. Kajitani. The 3D-packing by meta data structure and packing heuristics. IEICE '00, E83-A(4):639--645, 2000.
[19]
B. Yao, H. Chen, C.-K. Cheng, and R. Graham. Revisiting floorplan representations. ISPD '01, pp. 138--143, 2001.
[20]
P.-H. Yuh, C.-L. Yang, and Y.-W. Chang. Temporal floorplanning using the T-Tree formulation. ICCAD '04, pp. 300--305, 2004.
[21]
P.-H. Yuh, C.-L. Yang, Y.-W. Chang, and H.-L. Chen. Temporal floorplanning using 3D-subTCG. ASP-DAC '04, pp. 725--730, 2004.

Cited By

View all
  • (2017)Routability-Driven TSV-Aware Floorplanning Methodology for Fixed-Outline 3-D ICsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.269590036:11(1856-1868)Online publication date: Nov-2017
  • (2016)Physical Design Automation for 3D Chip StacksProceedings of the 2016 on International Symposium on Physical Design10.1145/2872334.2872335(3-10)Online publication date: 3-Apr-2016
  • (2014)Optimization models in layout2014 9th Iberian Conference on Information Systems and Technologies (CISTI)10.1109/CISTI.2014.6876978(1-6)Online publication date: Jun-2014
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
GLSVLSI '11: Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
May 2011
496 pages
ISBN:9781450306676
DOI:10.1145/1973009
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CEDA
  • IEEE CASS

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 May 2011

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 3d design
  2. 3d layout representation
  3. data structures
  4. physical design

Qualifiers

  • Research-article

Conference

GLSVLSI '11
Sponsor:
GLSVLSI '11: Great Lakes Symposium on VLSI 2011
May 2 - 4, 2011
Lausanne, Switzerland

Acceptance Rates

Overall Acceptance Rate 312 of 1,156 submissions, 27%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)1
Reflects downloads up to 03 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2017)Routability-Driven TSV-Aware Floorplanning Methodology for Fixed-Outline 3-D ICsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.269590036:11(1856-1868)Online publication date: Nov-2017
  • (2016)Physical Design Automation for 3D Chip StacksProceedings of the 2016 on International Symposium on Physical Design10.1145/2872334.2872335(3-10)Online publication date: 3-Apr-2016
  • (2014)Optimization models in layout2014 9th Iberian Conference on Information Systems and Technologies (CISTI)10.1109/CISTI.2014.6876978(1-6)Online publication date: Jun-2014
  • (2014)Structural planning of 3D-IC interconnects by block alignment2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2014.6742866(53-60)Online publication date: Jan-2014
  • (2013)Utilizing 2D and 3D rectilinear blocks for efficient IP reuse and floorplanning of 3D-integrated systemsProceedings of the 2013 ACM International symposium on Physical Design10.1145/2451916.2451921(11-16)Online publication date: 24-Mar-2013
  • (2013)Integration of thermal management and floorplanning based on three-dimensional layout representations2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS)10.1109/ICECS.2013.6815572(962-965)Online publication date: Dec-2013

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media