Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/237578.237595acmconferencesArticle/Chapter ViewAbstractPublication PagesicsConference Proceedingsconference-collections
Article
Free access

Examination of a memory access classification scheme for pointer-intensive and numeric programs

Published: 01 January 1996 Publication History
First page of PDF

References

[1]
Todd M. Austin, Dionisios N. Pnevmatikatos, and Gurindar S. Sohi. Streamlining Data Cache Access with Fast Address Calculation. In Proceedings of the 22nd International Symposium on Computer Architecture, pages 369-380, June 1995.
[2]
Santosh G. Abraham and B. Ramah'ishna Rau. Predicting Load Latencies Using Cache Profiling. Technical Report HPL-94-110, Hewlett-Packard Laboratories, Palo Alto, CA, November 1994.
[3]
David F. Bacon, Jyh-Herng Chow, Dz-ching R. Ju, Kalyan Muthukumar, and Vivek Sarkar. A Compiler Framework for Restructuring Data Declarations to Enhance Cache and TLB Effectiveness. In Proceedings of CASCON '94, pages 270-282, Toronto, Canada, October 1994.
[4]
Tlen-Fu Chen and Jean-Loup Baer.Effective Hardware-Based Data Prefetching for High- Performance Processors. IEEE Transactions on Computers, 44(5):609-623, May 1995.
[5]
Steve Carr, Kathryn S. McKinley, and Chau-Wen Tseng. Compiler Optimizations for Improving Data Locality. in Proceedings of the Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, pages 252-262, October 1994.
[6]
Mark J. Charney and Anthony P. Reeves. Correlation- Based Hardware Prefetching. Submitted to IEEE Transactions on Computers, September 1994.
[7]
I.S. Duff, A.M. Erisman, and J. K. Reid. Direct Methods for Sparse Matrices. Oxford University Press, New York, NY, 1986. Printed in paperback (with corrections) 1989.
[8]
Fredrik Dahlgren and Per Stenstr6m. Effectiveness of Hardware-Based Stride and Sequential Prefetching in Shared Memory Multiprocessors. In Proceedings of the first IEEE Symposium on High-Performance Computer Architecture, pages 68-77, January 1995.
[9]
Richard J. Eickemeyer and S. Vassiliadis. A loadinstruction unit for pipelined processors. IBM Journal of Research and Development, 37(4):547-564, July 1993.
[10]
john W. C. Fu, Janak H. Patel, and Bob L. Janssens. Stride Directed Prefetching in Scalar Processors. In Proceedings of the 25th Annual International Symposium on Microarchitecture, pages 102-110, December 1992.
[11]
Edward H. Gornish, Elana D. Granston, and Alexander V. Veidenbaum. Compiler-directed data prefetching in multiprocessors with memory hierarchies. In Proceedings of the 1990 ACM International Conference on Supercomputing, pages 354-368, Department of Computer Science, Urbana, IL 61801, June 1990.
[12]
Edward H. Gornish. Adaptive and integrated data cache prefetching for shared-memory multiprocessots. PhD thesis, University of Illinois at Urbana- Champaign, Department of Computer Science, Urbana, IL 61801, January 1995.
[13]
Luddy Harrison and Sharad Mehrotra. A data prefetch mechanism for accelerating general-purpose computation. Technical Report 1351, CSRD, University of illinois at Urbana-Champaign, Urbana, IL 61801, 8 May 1994. Last revised 9 March 1995. This report is the basis for Patent Application No. 08/508,290, Prefetch System Applicable to Complex Memory Access Schemes, filed by the University of Ilhnois on 27 July 1995.
[14]
John L. Hennessy and David A. Patterson. Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers, inc., San Mateo, CA 94403, second edition, 1996.
[15]
Norman P. Jouppi. Improving Direct-mapped Cache Performance by the Addition of a Small Fully- Associative Cache and Prefetch Buffers. In Proceedings of the 17th International Symposium on Computer Architecture, pages 364-373, May 1990.
[16]
Ivan Jegou and Otivier Temam. Speculative Prefetchrag. In Proceedings of the t993 ACM International Conference on Supercomputing, pages 57 -66, July 1993.
[17]
Alexander C. Klaiber and Henw M. Levy. An architecture for software-controlled data prefetching. In Proceedings of the 18th International Symposium on Computer Architecture, pages 43-53, May 1991.
[18]
James R. Larus. Effiment Program Tracing. IEEE Computer, 26(5):52-6I, May 1993.
[19]
Monica S. Lain, Edward E. Rothberg, and Michael E. Wolf. The Cache Performance and Optimizations of Blocked Algorithms. In Proceedings of the Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, pages 63-74, April 1991.
[20]
Alvin R. Lebeck and David A, Wood. Cache Profiling and the SPEC Benchmarks: A Case Study. IEEE Computer, 27(10):15-26, October 1994,
[21]
Sharad Mehrotra. Data prefetch mechanisms for accelerating symbolic and numeric computation. PhD thesis, University of Illinois at Urbana-Champaign, Department of Computer Science, Urbana, IL 61801, May 1996.
[22]
Todd C. Mowry. ToleratingLatenc) ThroughSofiware- Controlled Data Prefetchmg. PhD thesis, Stanford University, Department of Electrical Engineering, Stanford, CA 94305, March 1994.
[23]
Subbarao Palacharla and Richard E. Kessler. Evaluating Stream Buffers as a Secondary Cache Replacement. In Proceedings of the 21 st International Symposium on Computer Architecture, pages 24-33, April 1994.
[24]
Charles William Selvidge. Compilation-Based Prefetching for Memor? Latency Tolerance. PhD thesis, Massachusetts Institute o fTechnology, Department of Electrical Engineering and Computer Science, Cambridge, MA 02139, May 1992.
[25]
James E. Smith and Wei-Chung Hsu. Prefetching in Supercomputer Instruction Caches. In Proceedings of Supercomputing '92, pages 588-597, November 1992.
[26]
Alan Jay Smith. Sequential Program Prefetchmg in Memory Hierarchies. IEEE Computer, 11(12):7-21, December 1978.
[27]
Olivier Temam and William Jalby. Characterizing the Behavior of Sparse Algorithms on Caches. In Proceedings of Supercomputing '92, pages 578-587, November 1992.
[28]
John Tse and Alan Jay Smith, Performance Evaluatmn of Cache Prefetch Implementation. Technical Report UCB/CSD-95-877, Computer Science Division, University of California, Berkeley, CA 94720, June 1995.
[29]
Yoji- Yamada, John Gyllenhall, Grant Haab, and Wenmei W. Hwu. Data Relocation and Prefetching for Programs with Large Data Sets. In Proceedings of the 27th Annual International Symposium on Microarchitecture, November 1994.

Cited By

View all
  • (2018)Towards Effective Generation of Synthetic Memory References Via Markovian Models2018 IEEE 42nd Annual Computer Software and Applications Conference (COMPSAC)10.1109/COMPSAC.2018.10229(199-203)Online publication date: Jul-2018
  • (2006)Adaptive technique for automatic communication access pattern discovery applied to data prefetching in distributed applications using neural networks and stochastic modelsProceedings of the 4th international conference on Parallel and Distributed Processing and Applications10.1007/11946441_30(292-303)Online publication date: 4-Dec-2006
  • (2005)Quantifying Locality In The Memory Access Patterns of HPC ApplicationsProceedings of the 2005 ACM/IEEE conference on Supercomputing10.1109/SC.2005.59Online publication date: 12-Nov-2005
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICS '96: Proceedings of the 10th international conference on Supercomputing
January 1996
406 pages
ISBN:0897918037
DOI:10.1145/237578
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 January 1996

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. CPU architecture
  2. data cache
  3. instruction profiling
  4. memory access pattern classification
  5. memory latency tolerance

Qualifiers

  • Article

Conference

ICS96
Sponsor:
ICS96: 1996 International Conference on Supercomputing
May 25 - 28, 1996
Pennsylvania, Philadelphia, USA

Acceptance Rates

ICS '96 Paper Acceptance Rate 50 of 116 submissions, 43%;
Overall Acceptance Rate 629 of 2,180 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)24
  • Downloads (Last 6 weeks)1
Reflects downloads up to 17 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Towards Effective Generation of Synthetic Memory References Via Markovian Models2018 IEEE 42nd Annual Computer Software and Applications Conference (COMPSAC)10.1109/COMPSAC.2018.10229(199-203)Online publication date: Jul-2018
  • (2006)Adaptive technique for automatic communication access pattern discovery applied to data prefetching in distributed applications using neural networks and stochastic modelsProceedings of the 4th international conference on Parallel and Distributed Processing and Applications10.1007/11946441_30(292-303)Online publication date: 4-Dec-2006
  • (2005)Quantifying Locality In The Memory Access Patterns of HPC ApplicationsProceedings of the 2005 ACM/IEEE conference on Supercomputing10.1109/SC.2005.59Online publication date: 12-Nov-2005
  • (2005)Memory-side prefetching for linked data structures for processor-in-memory systemsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2004.11.00465:4(448-463)Online publication date: 1-Apr-2005
  • (2005)Data prefetching for non-linear memory referencesHigh-Performance Computing and Networking10.1007/BFb0037203(757-765)Online publication date: 22-Jun-2005
  • (2004)Static Identification of Delinquent LoadsProceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization10.5555/977395.977676Online publication date: 20-Mar-2004
  • (2004)A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetchingACM Transactions on Computer Systems10.1145/986533.98653622:2(214-280)Online publication date: 1-May-2004
  • (2004)A Prefetch TaxonomyIEEE Transactions on Computers10.1109/TC.2004.126182453:2(126-140)Online publication date: 1-Feb-2004
  • (2002)Exploiting speculative value reuse using value predictionAustralian Computer Science Communications10.5555/563952.56394524:3(101-108)Online publication date: 1-Jan-2002
  • (2002)Exploiting speculative value reuse using value predictionProceedings of the seventh Asia-Pacific conference on Computer systems architecture10.5555/563933.563945(101-108)Online publication date: 1-Jan-2002
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media