Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/240518.240607acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Symbolic optimization of FSM networks based on sequential ATPG techniques

Published: 01 June 1996 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    S. Unger, Asynchronous Sequential S~uitchin9 Circuits, John Wiley, 1969.
    [2]
    J. Kim, M. Newborn, "The Simplification of Sequential Machines with Input Restrictions," IEEE TC, 1972.
    [3]
    S. Devadas, "Optimizing Interacting Finite State Machines Using Sequential Don't Cares," IEEE TCAD, 1991.
    [4]
    J. Rho, F. Somenzi. "Don't Care Sequences and the Optimization of Interacting Finite State Machines," IEEE TCAD, 1994.
    [5]
    H. Wang, R. Brayton, "Input Don't Care Sequences in FSM Networks," ICCAD-93.
    [6]
    F. Ferrandi, F. Fummi, E. Macii, M. Poncino, D. Sciuto, "Test Generation for Networks of Interacting Finite State Machines Using Implicit Techniques," GLSVLSI-96.
    [7]
    E. Sentovich, K. Singh, C. Moon, H. Savoij, R. Brayton, A. Sangiovanni-Vincentelli, "Sequential Circuits Design Using Synthesis and Optimization," ICCD-9~.
    [8]
    J. Hopcroft, J. Ullman, Formal Languages and Their Relation to Automata, Addison-Wesley, 1969.
    [9]
    M. Rabin, D. Scott, "Finite Automata and Their Decision Problems," IBM Journal of Research and Development, 1959.
    [10]
    H. Wang, R. Brayton, "Exploitation of Input Don't Care Sequences in Logic Optimization of FSM Networks," ICCAD-95.
    [11]
    F. Ferrandi, F. Fummi, B. Macii, M. Poncino, D. Sciuto, ATPG-Based Symbolic Optimization of FSM Networks, Internal Report, Politecnico di Torino - DAI, 1995.
    [12]
    S. Yang, "Logic Synthesis and Optimization Benchmarks User Guide Version 3.0," MCNC Technical Report, 1991.
    [13]
    F. Brglez, D. Bryan, K. Ko~mifiski, "Combinational Profiles of Sequential Benchmark Circuits," ISCAS-89.
    [14]
    L. Bntrena, K. T. Cheng, "Sequential Logic Optimization by Redundancy Addition and Removal," ICCAD-93.
    [15]
    K. Ravi, F. Somenzi, "High-Density Reachability Analysis," ICCAD-95.

    Cited By

    View all
    • (1997)Application of a testing framework to VHDL descriptions at different abstraction levelsProceedings International Conference on Computer Design VLSI in Computers and Processors10.1109/ICCD.1997.628935(654-659)Online publication date: 1997
    • (1996)BDD-based testability estimation of VHDL designsProceedings of the conference on European design automation10.5555/252471.252545(444-449)Online publication date: 20-Sep-1996
    • (1996)Implicit test sequences compaction for decreasing test application costProceedings International Conference on Computer Design. VLSI in Computers and Processors10.1109/ICCD.1996.563583(384-389)Online publication date: 1996
    • Show More Cited By

    Index Terms

    1. Symbolic optimization of FSM networks based on sequential ATPG techniques

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      DAC '96: Proceedings of the 33rd annual Design Automation Conference
      June 1996
      839 pages
      ISBN:0897917790
      DOI:10.1145/240518
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 June 1996

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Article

      Conference

      DAC96
      Sponsor:
      DAC96: The 33rd Design Automation Conference
      June 3 - 7, 1996
      Nevada, Las Vegas, USA

      Acceptance Rates

      DAC '96 Paper Acceptance Rate 142 of 377 submissions, 38%;
      Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

      Upcoming Conference

      DAC '25
      62nd ACM/IEEE Design Automation Conference
      June 22 - 26, 2025
      San Francisco , CA , USA

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)13
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 29 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (1997)Application of a testing framework to VHDL descriptions at different abstraction levelsProceedings International Conference on Computer Design VLSI in Computers and Processors10.1109/ICCD.1997.628935(654-659)Online publication date: 1997
      • (1996)BDD-based testability estimation of VHDL designsProceedings of the conference on European design automation10.5555/252471.252545(444-449)Online publication date: 20-Sep-1996
      • (1996)Implicit test sequences compaction for decreasing test application costProceedings International Conference on Computer Design. VLSI in Computers and Processors10.1109/ICCD.1996.563583(384-389)Online publication date: 1996
      • (1996)BDD-based testability estimation of VHDL designsProceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition10.1109/EURDAC.1996.558241(444-449)Online publication date: 1996
      • (1995)SCAN/BIST techniques for decreasing test storage and their implications to test pattern generationProceedings of EUROMICRO 96. 22nd Euromicro Conference. Beyond 2000: Hardware and Software Design Strategies10.1109/EURMIC.1996.546458(351-358)Online publication date: 1995

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media