Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Predictability for timing and temperature in multiprocessor system-on-chip platforms

Published: 21 March 2013 Publication History
  • Get Citation Alerts
  • Abstract

    High computational performance in multiprocessor system-on-chips (MPSoCs) is constrained by the ever-increasing power densities in integrated circuits, so that nowadays MPSoCs face various thermal issues. For instance, high chip temperatures may lead to long-term reliability concerns and short-term functional errors. Therefore, the new challenge in designing embedded real-time MPSoCs is to guarantee the final performance and correct function of the system, considering both functional and non-functional properties. One way to achieve this is by ruling out mapping alternatives that do not fulfill requirements on performance or peak temperature already in early design stages. In this article, we propose a thermal-aware optimization framework for mapping real-time applications onto MPSoC platforms. The performance and temperature of mapping candidates are evaluated by formal temporal and thermal analysis models. To this end, analysis models are automatically generated during design space exploration, based on the same specifications as used for software synthesis. The analysis models are automatically calibrated with performance data reflecting the execution of the system on the target platform. The data is automatically obtained prior to design space exploration based on a set of benchmark mappings. Case studies show that the performance and temperature requirements are often conflicting goals and optimizing them together leads to major benefits in terms of a guaranteed and predictable high performance.

    References

    [1]
    Atienza, D. et al. 2007. HW-SW emulation framework for temperature-aware design in MPSoCs. ACM Trans. Des. Autom. Electron. Syst. 12, 3, 1--26.
    [2]
    Bacivarov, I., Haid, W., Huang, K., and Thiele, L. 2010. Methods and tools for mapping process networks onto multi-processor systems-on-chip. In Handbook of Signal Processing Systems, S. S. Bhattacharyya, E. F. Deprettere, R. Leupers, and J. Takala, Eds., Springer, New York, NY, 1007--1040.
    [3]
    Bartolini, A., Cacciari, M., Tilli, A., Benini, L., and Gries, M. 2010. A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. In Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI). ACM, 311--316.
    [4]
    Benini, L., Bertozzi, D., Bogliolo, A., Menichelli, F., and Olivieri, M. 2005. MPARM: exploring the multi-processor SOC design space with SystemC. J. VLSI Signal Process 41, 2, 169--182.
    [5]
    Birkhoff, G. and Varga, R. S. 1958. Reactor criticality and nonnegative matrices. J. Soc. Ind. Appl. Math. 6, 4, 354--377.
    [6]
    Brooks, D. and Martonosi, M. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA). IEEE, 171--182.
    [7]
    Chantem, T., Dick, R. P., and Hu, X. S. 2008. Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). ACM/IEEE.
    [8]
    Coskun, A. K., Rosing, T. S., and Whisnant, K. 2007. Temperature aware task scheduling in MPSoCs. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). ACM/IEEE.
    [9]
    Donald, J. and Martonosi, M. 2006. Techniques for multicore thermal management: classification and new exploration. In Proceedings of the International Symposium on Computer Architecture (ISCA). IEEE.
    [10]
    Friedland, B. 1986. Control Systems Design: An Introduction to State-Space Methods. McGraw-Hill, New York, NY.
    [11]
    Garcia del Valle, P. and Atienza, D. 2010. Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling. Microelectron. J. 41, 10, 1--9.
    [12]
    Gupta, R. et al. 1997. The Elmore Delay as a bound for RC trees with generalized input signals. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 16, 95--104.
    [13]
    Hardavellas, N., Ferdman, M., Falsafi, B., and Ailamaki, A. 2011. Toward dark silicon in servers. IEEE Micro 31, 4, 6--15.
    [14]
    Henia, R., Hamann, A., Jersak, M., Racu, R., Richter, K., and Ernst, R. 2005. System level performance analysis - The SymTA/S approach. IEEE Proc. Comput. Digital Techniq. 152, 2, 148--166.
    [15]
    Huang, K., Haid, W., Bacivarov, I., Keller, M., and Thiele, L. 2012. Embedding formal performance analysis into the design cycle of MPSoCs for real-time streaming applications. ACM Trans. Embedd. Comput. Syst. 11, 1, 8:1--8:23.
    [16]
    Huang, W., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., and Stan, M. 2006. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. VLSI Syst. 14, 5, 501--513.
    [17]
    Kahle, J. A., Day, M. N., Hofstee, H. P., Johns, C. R., Maeurer, T. R., and Shippy, D. 2005. Introduction to the cell multiprocessor. IBM J. Res. Develop. 49, 4.5, 589--604.
    [18]
    Kangas, T., Kukkala, P., Orsila, H., Salminen, E., Hännikäinen, M., Hämäläinen, T. D., Riihimäki, J., and Kuusilinna, K. 2006. UML-based multiprocessor SoC design framework. ACM Trans. Embedd. Comput. Syst. 5, 281--320.
    [19]
    Kienhuis, B., Deprettere, E., Vissers, K., and van der Wolf, P. 1997. An approach for quantitative analysis of application-specific dataflow architectures. In Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors (ASAP). IEEE, 338--349.
    [20]
    Krum, A. 2000. Thermal management. In The CRC Handbook of Thermal Engineering, F. Kreith, Ed., CRC Press, Boca Raton, FL, 1--92.
    [21]
    Kumar, A., Shang, L., Peh, L., and Jha, N. 2006. HybDTM: A coordinated hardware-software approach for dynamic thermal management. In Proceedings of the Design Automation Conference (DAC). ACM, San Francisco, 548--553.
    [22]
    Künzli, S., Hamann, A., Ernst, R., and Thiele, L. 2007. Combined approach to system level performance analysis of embedded systems. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). ACM.
    [23]
    Le Boudec, J.-Y. and Thiran, P. 2001. Network calculus: A theory of deterministic queuing systems for the internet. Lecture Notes In Computer Science, vol. 2050, Springer, Berlin.
    [24]
    Lee, E. and Messerschmitt, D. 1987. Synchronous data flow. Proc. IEEE 75, 9, 1235--1245.
    [25]
    Liu, Y. et al. 2007. Accurate temperature-dependent integrated circuit leakage power estimation is easy. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). ACM/IEEE.
    [26]
    Loh, G. H. 2008. 3D-stacked memory architectures for multi-core processors. In Proceedings of the International Symposium on Computer Architecture (ISCA). IEEE, 453--464.
    [27]
    Maeda, H., Kodama, S., and Kajiya, F. 1977. Compartmental system analysis: Realization of a class of linear systems with physical constraints. IEEE Trans. Circuits Syst. 24, 1, 8--14.
    [28]
    Paolucci, P. S., Jerraya, A. A., Leupers, R., Thiele, L., and Vicini, P. 2006. SHAPES:: A tiled scalable software hardware architecture platform for embedded systems. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). ACM, 167--172.
    [29]
    Pimentel, A. 2008. The Artemis Workbench for system-level performance evaluation of embedded systems. Int. J. Embed. Syst. 3, 3, 181--196.
    [30]
    Rai, D., Yang, H., Bacivarov, I., Chen, J.-J., and Thiele, L. 2011. Worst-case temperature analysis for real-time systems. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). ACM/IEEE.
    [31]
    Schor, L., Bacivarov, I., Yang, H., and Thiele, L. 2012. Worst-case temperature guarantees for real-time applications on multi-core systems. In Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). IEEE.
    [32]
    Skadron, K., Stan, M. R., Sankaranarayanan, K., Huang, W., Velusamy, S., and Tarjan, D. 2004. Temperature-Aware Microarchitecture: Modeling and Implementation. ACM Trans. Architec. Code Optim. 1, 1, 94--125.
    [33]
    Sridhar, M., Raj, A., Vincenzi, A., Ruggiero, M., Brunschwiler, T., and Atienza Alonso, D. 2010. 3D-ICE: Fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). ACM/IEEE, 463--470.
    [34]
    Thiele, L., Bacivarov, I., Haid, W., and Huang, K. 2007. Mapping applications to tiled multiprocessor embedded systems. In Proceedings of the International Conference on Application of Concurrency to System Design (ACSD). IEEE, 29--40.
    [35]
    Thiele, L., Chakraborty, S., and Naedele, M. 2000. Real-time calculus for scheduling hard real-time systems. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS) Vol. 4., IEEE, 101--104.
    [36]
    Thiele, L., Schor, L., Yang, H., and Bacivarov, I. 2011. Thermal-aware system analysis and software synthesis for embedded multi-processors. In Proceedings of the Design Automation Conference (DAC). ACM, 268--273.
    [37]
    Wandeler, E., Thiele, L., Verhoef, M., and Lieverse, P. 2006. System architecture evaluation using modular performance analysis: A case study. Int. Softw. Tools Technol. Transfer 8, 6, 649--667.
    [38]
    Watanabe, Y., Davis, J. D., and Wood, D. A. 2010. WiDGET: Wisconsin decoupled grid execution tiles. In Proceedings of the International Symposium on Computer Architecture (ISCA). ACM, 2--13.
    [39]
    Wilhelm, R. et al. 2008. The worst-case execution-time problem -- Overview of methods and survey of tools. ACM Trans. Embedd. Comput. Syst. 7, 1--53.
    [40]
    Wu, C.-W. and Chang, C.-T. 1993. FFT butterfly network design for easy testing. IEEE Trans. Circuits Syst. II: Analog Digital Signal Proces. 40, 2, 110--115.
    [41]
    Xie, Y. and Hung, W.-l. 2006. Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSoC) design. J. VLSI Signal Process. 45, 3, 177--189.
    [42]
    Yang, C.-Y., Chen, J.-J., Thiele, L., and Kuo, T.-W. 2010. Energy-efficient real-time task scheduling with temperature-dependent leakage. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). ACM/IEEE, Dresden, Germany, 9--14.
    [43]
    Zhao, M., Childers, B. R., and Soffa, M. L. 2005. A model-based framework: An approach for profit-driven optimization. In Proceedings of the International Symposium on Code Generation and Optimization (CGO). ACM/IEEE, 317--327.
    [44]
    Zhu, C., Gu, Z., Shang, L., Dick, R., and Joseph, R. 2008. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 27, 8, 1479--1492.

    Cited By

    View all
    • (2019)Adaptive Periodic Thermal Management for Pipelined Hard Real-Time SystemsIEEE Access10.1109/ACCESS.2019.29353397(114731-114746)Online publication date: 2019
    • (2016)Minimizing peak temperature for pipelined hard real-time systemsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972062(1090-1095)Online publication date: 14-Mar-2016
    • (2016)Building Faithful Embedded Systems Models: Challenges and OpportunitiesModel-Implementation Fidelity in Cyber Physical System Design10.1007/978-3-319-47307-9_1(1-24)Online publication date: 10-Dec-2016
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Embedded Computing Systems
    ACM Transactions on Embedded Computing Systems  Volume 12, Issue 1s
    Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
    March 2013
    701 pages
    ISSN:1539-9087
    EISSN:1558-3465
    DOI:10.1145/2435227
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 21 March 2013
    Accepted: 01 June 2012
    Revised: 01 March 2012
    Received: 01 November 2011
    Published in TECS Volume 12, Issue 1s

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. MPSoC
    2. design automation
    3. temperature analysis

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)0

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)Adaptive Periodic Thermal Management for Pipelined Hard Real-Time SystemsIEEE Access10.1109/ACCESS.2019.29353397(114731-114746)Online publication date: 2019
    • (2016)Minimizing peak temperature for pipelined hard real-time systemsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972062(1090-1095)Online publication date: 14-Mar-2016
    • (2016)Building Faithful Embedded Systems Models: Challenges and OpportunitiesModel-Implementation Fidelity in Cyber Physical System Design10.1007/978-3-319-47307-9_1(1-24)Online publication date: 10-Dec-2016
    • (2015)Multi/many-core programmingProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2757208(1708-1717)Online publication date: 9-Mar-2015
    • (2015)Guaranteed Computational Resprinting via Model-Predictive ControlACM Transactions on Embedded Computing Systems10.1145/272471514:3(1-26)Online publication date: 21-Apr-2015
    • (2014)EURETILE Design FlowProceedings of the 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications10.1109/ISPA.2014.32(182-189)Online publication date: 26-Aug-2014
    • (2013)Thermal-aware mapping of streaming applications on 3D Multi-Processor SystemsThe 11th IEEE Symposium on Embedded Systems for Real-time Multimedia10.1109/ESTIMedia.2013.6704498(11-20)Online publication date: Oct-2013
    • (2013)Efficient Worst-Case Temperature Evaluation for Thermal-Aware Assignment of Real-Time Applications on MPSoCsJournal of Electronic Testing: Theory and Applications10.1007/s10836-013-5397-529:4(521-535)Online publication date: 1-Aug-2013

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media