Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Energy-Efficient Thread Assignment Optimization for Heterogeneous Multicore Systems

Published: 21 January 2015 Publication History
  • Get Citation Alerts
  • Abstract

    The current trend to move from homogeneous to heterogeneous multicore systems provides compelling opportunities for achieving performance and energy efficiency goals. Running multiple threads in multicore systems poses challenges on meeting limited shared resources, such as memory bandwidth. We propose an optimization approach that includes an Integer Linear Programming (ILP) optimization model and a scheme to dynamically determine thread-to-core assignment. We present simulation analysis that shows energy savings and performance gains for a variety of workloads compared to state-of-the-art schemes. We implemented and evaluated a prototype of our thread assignment approach at user level, leveraging Linux scheduling and performance-monitoring capabilities.

    References

    [1]
    Sanjoy Baruah. 2004. Task partitioning upon heterogeneous multiprocessor platforms. In Proceedings of the IEEE Real-Time Systems and Embedded Technology and Applications Symposium. 536--543.
    [2]
    Michela Becchi and Patrick Crowley. 2006. Dynamic thread assignment on heterogeneous multiprocessor architectures. In Computing Frontiers.
    [3]
    Sergey Blagodurov and Alexandra Fedorova. 2011. User-level scheduling on NUMA multicore systems under Linux. In Proceedings of the Linux Symposium.
    [4]
    Sergey Blagodurov, Sergey Zhuravlev, and Alexandra Fedorova. 2010. Contention-aware scheduling on multicore systems. ACM Trans. Comput. Syst. 28, 4, 45 pages.
    [5]
    Björn B. Brandenburg and James H. Anderson. 2009. On the implementation of global real-time schedulers. In Proceedings of the 2009 30th IEEE Real-Time Systems Symposium (RTSS’09). IEEE Computer Society, Washington, DC, 214--224.
    [6]
    David M. Brooks, Pradip Bose, Stanley E. Schuster, Hans Jacobson, Prabhakar N. Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor Zyuban, Manish Gupta, and Peter W. Cook. 2000. Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors. IEEE Micro 20, 6, 26--44.
    [7]
    Nagabhushan Chitlur, Ganapati Srinivasa, Scott Hahn, P. K. Gupta, Dheeraj Reddy, David Koufaty, Paul Brett, Abirami Prabhakaran, Li Zhao, Nelson Ijih, Suchit Subhaschandra, Sabina Grover, Xiaowei Jiang, and Ravi Iyer. 2012. QuickIA: Exploring heterogeneous architectures on real prototypes. In Proceedings of the 2012 IEEE 18th International Symposium on High Performance Computer Architecture (HPCA’12). 1--8.
    [8]
    Electronic Educational Devices. 2010. Watts Up PRO. Retrieved October 28, 2014 from http://www.wattsupmeters.com/.
    [9]
    Stephane Eranian. 2006. Perfmon2: A flexible performance monitoring interface for Linux. In Proceedings of the Linux Symposium. 269--287.
    [10]
    Alexandra Fedorova, Juan Carlos Saez, Daniel Shelepov, and Manuel Prieto. 2009. Maximizing power efficiency with asymmetric multicore systems. Commun. ACM 52, 12, 48--57.
    [11]
    P. Greenhalgh. 2011. Big.LITTLE Processing with ARM CortexTM-A15 and Cortex-A7. White Paper.
    [12]
    Vishakha Gupta, Rob Knauerhase, and Karsten Schwan. 2011. Attaining system performance points: Revisiting the end-to-end argument in system design for heterogeneous many-core systems. SIGOPS Oper. Syst. Rev. 45, 1, 3--10.
    [13]
    Gurobi Optimization Inc. 2011. Gurobi Optimizer Version 4.5. Retrieved from http://www.gurobi.com/.
    [14]
    Mark Hall, Eibe Frank, Geoffrey Holmes, Bernhard Pfahringer, Peter Reutemann, and Ian H. Witten. 2009. The WEKA data mining software: An update. SIGKDD Explor. Newsl. 11, 1, 10--18. Issue 1.
    [15]
    Intel Corp. 2011. Intel Processor Specifications. Retrieved October 28, 2014 from http://ark.intel.com/. (2011).
    [16]
    Aamer Jaleel. 2011. Memory characterization of workloads using instrumentation-driven simulation. http://www.jaleels.org/ajaleel/workload/.
    [17]
    N. Karmarkar. 1984. A new polynomial-time algorithm for linear programming. In Proceedings of the 16th Annual ACM symposium on Theory of Computing (STOC’84). ACM, New York, NY, 302--311.
    [18]
    Rob Knauerhase, Paul Brett, Barbara Hohlt, Tong Li, and Scott Hahn. 2008. Using OS observations to improve performance in multicore systems. IEEE Micro 28, 3, 54--66.
    [19]
    David Koufaty, Dheeraj Reddy, and Scott Hahn. 2010. Bias scheduling in heterogeneous multi-core architectures. In Proceedings of EuroSys.
    [20]
    Rakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, and Dean M. Tullsen. 2003. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In Proceedings of MICRO 36.
    [21]
    Rakesh Kumar, Dean M. Tullsen, and Norman P. Jouppi. 2006. Core architecture optimization for heterogeneous chip multiprocessors. In Proceedings of PACT. 23--32.
    [22]
    Tong Li, P. Brett, R. Knauerhase, D. Koufaty, D. Reddy, and S. Hahn. 2010. Operating system support for overlapping-ISA heterogeneous multi-core architectures. In Proceedings of HPCA.
    [23]
    Jason Mars, Lingjia Tang, and Mary Lou Soffa. 2011. Directly characterizing cross core interference through contention synthesis. In Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers (HiPEAC’11). ACM, New York, NY, 167--176.
    [24]
    Silvano Martello and Paolo Toth. 1990. Knapsack Problems: Algorithms and Computer Implementations. John Wiley & Sons, Inc., New York, NY.
    [25]
    Larry W. McVoy and Carl Staelin. 1996. lmbench: Portable tools for performance analysis. In Proceedings of the USENIX Annual Technical Conference (2002-01-03). 279--294.
    [26]
    Vinicius Petrucci, Orlando Loques, Daniel Mosse’, Rami Melhem, Neven Gazala, and Sameh Gobriel. 2012. Thread assignment optimization with real-time performance and memory bandwidth guarantees for energy-efficient heterogeneous multi-core systems. In Proceedings of the 18th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’12).
    [27]
    Nikola Rajovic, Alejandro Rico, James Vipond, Isaac Gelado, Nikola Puzovic, and Alex Ramirez. 2013. Experiences with mobile processors for energy efficient HPC. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’13). San Jose, CA, 464--468.
    [28]
    Krishna K. Rangan, Gu-Yeon Wei, and David Brooks. 2009. Thread motion: Fine-grained power management for multi-core systems. In Proceedings of ISCA. 302--313.
    [29]
    Juan Carlos Saez, Manuel Prieto, Alexandra Fedorova, and Sergey Blagodurov. 2010. A comprehensive scheduler for asymmetric multicore systems. In Proceedings of EuroSys. 139--152.
    [30]
    Samsung Electronics. 2013. SAMSUNG highlightsinnovations in mobile experiences driven by components, in CES keynote. Retrieved October 28, 2014 from http://www.samsung.com/us/news/20353.
    [31]
    Daniel Shelepov, Juan Carlos Saez Alcaide, Stacey Jeffery, Alexandra Fedorova, Nestor Perez, Zhi Feng Huang, Sergey Blagodurov, and Viren Kumar. 2009. HASS: A scheduler for heterogeneous multicore systems. SIGOPS Oper. Syst. Rev. 43, 2, 66--75.
    [32]
    P. B. Sousa, B. Andersson, and E. Tovar. 2011. Implementing slot-based task-splitting multiprocessor scheduling. In Proceedings of the IEEE International Symposium on Industrial Embedded Systems (SIES’11). 256--265.
    [33]
    Sadagopan Srinivasan, Li Zhao, Ramesh Illikkal, and Ravishankar Iyer. 2011. Efficient interaction between OS and architecture in heterogeneous platforms. SIGOPS Oper. Syst. Rev. 45, 1 62--72.

    Cited By

    View all
    • (2024)A Maintenance-Aware Approach for Sustainable Autonomous Mobile Robot Fleet ManagementIEEE Transactions on Mobile Computing10.1109/TMC.2023.333458923:6(7394-7407)Online publication date: Jun-2024
    • (2023)Automatic Synthesis of FSMs for Enforcing Non-functional Requirements on MPSoCs Using Multi-objective Evolutionary AlgorithmsACM Transactions on Design Automation of Electronic Systems10.1145/361783228:6(1-20)Online publication date: 16-Oct-2023
    • (2022)RT-SEAT: A hybrid approach based real-time scheduler for energy and temperature efficient heterogeneous multicore platformsResults in Engineering10.1016/j.rineng.2022.10070816(100708)Online publication date: Dec-2022
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Embedded Computing Systems
    ACM Transactions on Embedded Computing Systems  Volume 14, Issue 1
    January 2015
    443 pages
    ISSN:1539-9087
    EISSN:1558-3465
    DOI:10.1145/2724585
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 21 January 2015
    Accepted: 01 December 2013
    Revised: 01 December 2013
    Received: 01 July 2012
    Published in TECS Volume 14, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Task scheduling
    2. energy efficiency
    3. heterogeneous multicores
    4. memory bandwidth
    5. optimization
    6. real-time performance

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)24
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)A Maintenance-Aware Approach for Sustainable Autonomous Mobile Robot Fleet ManagementIEEE Transactions on Mobile Computing10.1109/TMC.2023.333458923:6(7394-7407)Online publication date: Jun-2024
    • (2023)Automatic Synthesis of FSMs for Enforcing Non-functional Requirements on MPSoCs Using Multi-objective Evolutionary AlgorithmsACM Transactions on Design Automation of Electronic Systems10.1145/361783228:6(1-20)Online publication date: 16-Oct-2023
    • (2022)RT-SEAT: A hybrid approach based real-time scheduler for energy and temperature efficient heterogeneous multicore platformsResults in Engineering10.1016/j.rineng.2022.10070816(100708)Online publication date: Dec-2022
    • (2022)Online energy-efficient fair scheduling for heterogeneous multi-cores considering shared resource contentionThe Journal of Supercomputing10.1007/s11227-021-04159-878:6(7729-7748)Online publication date: 1-Apr-2022
    • (2021)Mapping Computations in Heterogeneous Multicore Systems with Statistical Regression on Program InputsACM Transactions on Embedded Computing Systems10.1145/347828820:6(1-35)Online publication date: 18-Oct-2021
    • (2021)Heterogeneous Quasi-Partitioned Scheduling2021 IEEE Real-Time Systems Symposium (RTSS)10.1109/RTSS52674.2021.00033(266-278)Online publication date: Dec-2021
    • (2021)Lifetime-Driven Scheduling of Security-Critical Internet-of-Things Applications on Real-Time Heterogeneous Multicore Systems2021 IEEE 23rd Int Conf on High Performance Computing & Communications; 7th Int Conf on Data Science & Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application (HPCC/DSS/SmartCity/DependSys)10.1109/HPCC-DSS-SmartCity-DependSys53884.2021.00219(1466-1474)Online publication date: Dec-2021
    • (2021)Energy Predictive Models of Computing: Theory, Practical Implications and Experimental Analysis on Multicore ProcessorsIEEE Access10.1109/ACCESS.2021.30751399(63149-63172)Online publication date: 2021
    • (2020)AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous MulticoresIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.293506539:10(2206-2217)Online publication date: Oct-2020
    • (2020)Mapping Computations in Heterogeneous Multicore Systems with Statistical Regression on Inputs2020 X Brazilian Symposium on Computing Systems Engineering (SBESC)10.1109/SBESC51047.2020.9277863(1-8)Online publication date: 24-Nov-2020
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media