Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2593069.2593092acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

ApproxIt: An Approximate Computing Framework for Iterative Methods

Published: 01 June 2014 Publication History

Abstract

Approximate computing, being able to tradeoff computation quality (e.g., accuracy) and computational effort (e.g., energy) for error-tolerant applications such as media processing and the emerging Recognition, Mining, and Synthesis (RMS) applications, has gained significant traction in recent years. Many of these applications employ iterative methods for solution-finding, wherein a sequence of improving approximate solutions are generated before reaching the final converged solution. In this work, we propose ApproxIt, a novel approximate computing framework for iterative methods with quality guarantees. To be specific, we present a lightweight quality estimator that is able to capture the solution quality of each iteration and use it to guide the selection of approximate computing mode in the next iteration. With the proposed dynamic effort scaling technique, ApproxIt is able to dramatically improve application energy efficiency under quality guarantees, as demonstrated in our experimental results.

References

[1]
J. Han and M. Orshansky. Approximate computing: An emerging paradigm for energy-efficient design. In Proc. IEEE European Test Symposium(ETS), 2013.
[2]
V. K. Chippa, S. T Chakradhar, K. Roy, and A. Raghunathan. Analysis and characterization of inherent application resilience for approximate computing. In Proc. IEEE/ACM Annual Design Automation Conference(DAC), no.113, 2013.
[3]
V. K. Chippa, K. Roy, S. T. Chakradhar, and A. Raghunathan. Managing the Quality vs. Efficiency Trade-off Using Dynamic Effort Scaling In ACM Transactions on Embedded Computing Systems(TECS), 12(2s), No.90, 2013.
[4]
A. B. Kahng and S. Kang. Accuracy-configurable adder for approximate arithmetic designs. In Proc. IEEE/ACM Design Automation Conference(DAC), pp.820--825, 2012.
[5]
R. Ye, T. Wang, F. Yuan, R. Kumar and Q. Xu. On Reconfiguration-Oriented Approximate Adder Design and Its Application. In Proc. IEEE/ACM International Conference on Computer-Aided Design(ICCAD), pp.48--54, 2013.
[6]
C.T. Kelley. Iterative methods for optimization, volume 18. SIAM, 1999.
[7]
C. L. Byrne In Applied Iterative Methods. A K Peters/CRC Press, 2007.
[8]
V. Gupta, D. Mohapatra, A. Raghunathan, and K. Roy. Low-power digital signal processing using approximate adders. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, volume 32, number 1, pp.124--137, 2013.
[9]
B. Li, Y. Shan, M. Hu, Y. Wang, Y. Chen, H. Yang. Memristor-based approximated computation. In IEEE International Symposium on Low Power Electronics and Design(ISLPED), pp.242--247, 2013
[10]
A. Sampson, W. Dietl, E. Fortuna, D. Gnanapragasam, L. Ceze, and D. Grossman. Enerj: Approximate data types for safe and general low-power computation. In ACM SIGPLAN Notices, volume 46, pp.164--174, 2011.
[11]
V. Gupta, D. Mohapatra, S. P. Park, A. Raghunathan, and K. Roy. Impact: imprecise adders for low-power approximate computing. In 2011 International Symposium on Low Power Electronics and Design(ISLPED), pp.409--414, 2011.
[12]
J. Miao, K. He, A. Gerstlauer, and M. Orshansky. Modeling and synthesis of quality-energy optimal approximate adders. In Proc. of the International Conference on Computer-Aided Design(ICCAD), pp.728--735, 2012.
[13]
P. Kulkarni, P. Gupta, and M. Ercegovac. Trading accuracy for power with an underdesigned multiplier architecture. In 24th International Conference on VLSI Design(VLSI Design), pp.346--351, 2011.
[14]
N. Zhu, W. L. Goh, W. J. Zhang, K. S. Yeo, and Z. H. Kong. Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. In IEEE Transactions on Very Large Scale Integration Systems, volume 18, number 8, pp. 1225--1229, 2010.
[15]
R. Venkatesan, A. Agarwal, K. Roy, A. Raghunathan, MACACO: modeling and analysis of circuits for approximate computing. In Proc. IEEE/ACM International Conference on Computer-Aided Design(ICCAD), pp.667--673, 2011.
[16]
M. Dehbashi, G. Fey, K. Roy, A. Raghunathan, On Modeling and Evaluation of Logic Circuits Under Timing Variations. In Proc. Euromicro Conference on Digital System Design, pp.431--436, 2012.
[17]
S. Venkataramani, A. Sabne, V. Kozhikkottu, K. Roy, A. Raghunathan, SALSA: systematic logic synthesis of approximate circuits. In Proc. IEEE/ACM Design Automation Conference(DAC), pp.796--801, 2012.
[18]
J. H. Liang, J. Han, and F. Lombardi. New metrics for the reliability of approximate and probabilistic adders. In IEEE Transactions on Computers, 12: 0946, 2011.
[19]
Z. Q. Luo and P. Tseng. Error bounds and convergence analysis of feasible descent methods: A general approach. In Annals of Operations Research, volume 46, number 1, pp.157--178, Springer, 1993.
[20]
S. P. Boyd and L. Vandenberghe. In Convex optimization. Cambridge university press, 2004.
[21]
D. P. Bertsekas, In Nonlinear programming. In Athena Scientific, 1999.
[22]
N. Weste, D. Harris. In CMOS VLSI Design: A Circuits and Systems Perspective. 4th edition, Addison Wesley, March 2010.

Cited By

View all
  • (2023)Approx-RM: Reducing Energy on Heterogeneous Multicore Processors under Accuracy and Timing ConstraintsACM Transactions on Architecture and Code Optimization10.1145/360521420:3(1-25)Online publication date: 22-Jul-2023
  • (2023)Approximate Computing: Hardware and Software Techniques, Tools and Their ApplicationsJournal of Circuits, Systems and Computers10.1142/S021812662430001033:04Online publication date: 20-Sep-2023
  • (2022)Approximate Computing at the Algorithmic LevelApproximate Computing Techniques10.1007/978-3-030-94705-7_5(109-142)Online publication date: 3-Jan-2022
  • Show More Cited By

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
DAC '14: Proceedings of the 51st Annual Design Automation Conference
June 2014
1249 pages
ISBN:9781450327305
DOI:10.1145/2593069
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 June 2014

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

DAC '14

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)11
  • Downloads (Last 6 weeks)1
Reflects downloads up to 14 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Approx-RM: Reducing Energy on Heterogeneous Multicore Processors under Accuracy and Timing ConstraintsACM Transactions on Architecture and Code Optimization10.1145/360521420:3(1-25)Online publication date: 22-Jul-2023
  • (2023)Approximate Computing: Hardware and Software Techniques, Tools and Their ApplicationsJournal of Circuits, Systems and Computers10.1142/S021812662430001033:04Online publication date: 20-Sep-2023
  • (2022)Approximate Computing at the Algorithmic LevelApproximate Computing Techniques10.1007/978-3-030-94705-7_5(109-142)Online publication date: 3-Jan-2022
  • (2021)Comparison of Several Common Approximate AddersOpen Journal of Circuits and Systems10.12677/OJCS.2021.10300310:03(15-23)Online publication date: 2021
  • (2021)Towards Fine-Grained Online Adaptive Approximation Control for Dense SLAM on Embedded GPUsACM Transactions on Design Automation of Electronic Systems10.1145/348661227:2(1-19)Online publication date: 2-Nov-2021
  • (2021)DVFS-Based Quality Maximization for Adaptive Applications With Diminishing ReturnIEEE Transactions on Computers10.1109/TC.2020.299724270:5(803-816)Online publication date: 1-May-2021
  • (2021)On Pareto-frontier Approximate Computing for Many-core Systems2021 International Conference on Intelligent Technology and Embedded Systems (ICITES)10.1109/ICITES53477.2021.9637071(1-8)Online publication date: 31-Oct-2021
  • (2020)Adaptive Approximate Computing on Hardware Accelerators Targeting Internet-of-Things2020 IEEE 6th World Forum on Internet of Things (WF-IoT)10.1109/WF-IoT48130.2020.9221165(1-6)Online publication date: Jun-2020
  • (2020)ApproxIt: A Quality Management Framework of Approximate Computing for Iterative MethodsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.277523639:5(991-1002)Online publication date: May-2020
  • (2020)A Survey of Testing Techniques for Approximate Integrated CircuitsProceedings of the IEEE10.1109/JPROC.2020.2999613108:12(2178-2194)Online publication date: Dec-2020
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media