Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

VTR 7.0: Next Generation Architecture and CAD System for FPGAs

Published: 04 July 2014 Publication History
  • Get Citation Alerts
  • Abstract

    Exploring architectures for large, modern FPGAs requires sophisticated software that can model and target hypothetical devices. Furthermore, research into new CAD algorithms often requires a complete and open source baseline CAD flow. This article describes recent advances in the open source Verilog-to-Routing (VTR) CAD flow that enable further research in these areas. VTR now supports designs with multiple clocks in both timing analysis and optimization. Hard adder/carry logic can be included in an architecture in various ways and significantly improves the performance of arithmetic circuits. The flow now models energy consumption, an increasingly important concern. The speed and quality of the packing algorithms have been significantly improved. VTR can now generate a netlist of the final post-routed circuit which enables detailed simulation of a design for a variety of purposes. We also release new FPGA architecture files and models that are much closer to modern commercial architectures, enabling more realistic experiments. Finally, we show that while this version of VTR supports new and complex features, it has a 1.5× compile time speed-up for simple architectures and a 6× speed-up for complex architectures compared to the previous release, with no degradation to timing or wire-length quality.

    References

    [1]
    C. Ababei, H. Mogal, and K. Barzargan. 2006. Three-dimensional place and route for FPGAs. IEEE Trans. CAD. 1132--1140.
    [2]
    M. Abdelfattah and V. Betz. 2013. The power of communication: energy-efficient NoCs for FPGAs. In Proceedings of the International Conference on Field Programmable Logic and Applications. 1--8.
    [3]
    Altera. 2009. Stratix IV Device Family Overview. http://www.altera.com/literature/hb/stratix-iv/stx4_siv51001.pdf.
    [4]
    Altera. 2012a. PowerPlay Early Power Estimator: User Guide. http://www.altera.com/support/devices/estimator/pow-powerplay.jsp.
    [5]
    Altera. 2012b. Stratix V Device family overview. http://www.altera.com/devices/fpga/stratix-fpgas/stratix-v/stxv-index.jsp.
    [6]
    Altera. 2013. Quartus II Version 13.0 Handbook. http://www.altera.com/.
    [7]
    V. Betz and J. Rose. 2000. Automatic generation of FPGA routing architectures from high-level descriptions. In Proceedings of the ACM International Symposium on FPGAs. 175--184.
    [8]
    V. Betz, J. Rose, and A. Marquardt. 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Norwell, MA.
    [9]
    Y. Cao. 2008. Berkeley predictive technology model. http://ptm.asu.edu/.
    [10]
    C. Chiasson and V. Betz. 2013. COFFE: Fully-automated transistor sizing for FPGAs. In Proceedings of the IEEE International Conference on Field-Programmable Technology.
    [11]
    K. Chung and J. Rose. 1992. TEMPT: Technology mapping for the exploration of FPGA architectures with hard-wired connections. In Proceedings of the ACM/IEEE Design Automation Conference. 361--367.
    [12]
    S. Gangadharan and S. Churiwala. 2013. Constraining Designs for Synthesis and Timing Analysis: A Practical Guide to Synopsys Design Constraints. Springer.
    [13]
    J. Goeders and S. Wilton. 2012. VersaPower: Power estimation for diverse FPGA architectures. In Proceedings of the IEEE International Conference on Field Programmable Technology. 229--234.
    [14]
    H.-C. Hsieh, W. Carter, J. Ja, E. Cheung, S. Schreifels, C. Erickson, P. Freidin, L. Tinkey, and R. Kanazawa. 1990. Third-generation architecture boosts speed and density of field-programmable gate arrays. In Proceedings of the IEEE Custom Integrated Circuits Conference. 31.2/1--31.2/7.
    [15]
    M. Hutton, D. Karchmer, B. Archell, and J. Govig. 2005. Efficient static timing analysis and applications using edge masks. In Proceedings of the ACM International Symposium on FPGAs. 175--183.
    [16]
    ITRS. 2011. Interconnect chapter. http://www.itrs.net/Links/2011ITRS/2011Chapters/2011Interconnect.pdf.
    [17]
    P. Jamieson, K. Kent, F. Gharibian, and L. Shannon. 2010. Odin II: An open-source Verilog HDL synthesis tool for CAD research. In Proceedings of the IEEE International Symposium on Field-Programmable Custom Computing Machines. 149--156.
    [18]
    P. Jamieson, W. Luk, S. J. Wilton, and G. A. Constantinides. 2009. An energy and power consumption analysis of FPGA routing architectures. In Proceedings of the IEEE International Conference on Field Programmable Technology. 324--327.
    [19]
    I. Kuon and J. Rose. 2008. Automated transistor sizing for fpga architecture exploration. In Proceedings of the 45th Annual Design Automation Conference (DAC'08). ACM, New York, 792--795.
    [20]
    J. Lamoureux and S. J. Wilton. 2006. Activity estimation for field-programmable gate arrays. In Proceedings of the International Conference on Field Programmable Logic and Applications. 1--8.
    [21]
    LATTICE. 2012. ECP3 family. http://www.latticesemi.com/products/fpga/ecp3/index.cfm.
    [22]
    C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. Nelson, and B. Hutchings. 2011. Rapid-Smith: Do-It-yourself CAD tools for Xilinx FPGAs. In Proceedings of the International Conference on Field-Programable Logic and Applications. 349--355.
    [23]
    F. Li and L. He. 2005. Power Modeling and Characteristics of Field Programmable Gate Arrays. IEEE Trans. CAD 24, 11, 1712--1724.
    [24]
    J. Libby, A. Furrow, P. O'Brien, and K. Kent. 2011. A framework for verifying functional correctness in Odin II. In Proceedings of the IEEE International Conference on Field-Programmable Technology. 1--6.
    [25]
    J. Luu, J. Anderson, and J. Rose. 2011. Architecture description and packing for logic blocks with hierarchy, modes and complex interconnect. In Proceedings of the ACM International Symposium on FPGAs. 227--236.
    [26]
    J. Luu, I. Kuon, P. Jamieson, T. Campbell, A. Ye, W. M. Fang, and J. Rose. 2009. VPR 5.0: FPGA CAD and architecture exploration tools with single-driver routing, heterogeneity and process scaling. In Proceedings of the ACM International Symposium on FPGAs. 133--142.
    [27]
    Microsemi. 2013. Smartfusion2 SoC FPGAs. http://www.microsemi.com/fpga-soc/soc-fpga/smartfusion2.
    [28]
    A. Mishchenko. 2009. ABC: A system for sequential synthesis and verification. http://www.eecs.berkeley. edu/alanmi/abc.
    [29]
    K. Murray, S. Whitty, J. Luu, S. Liu, and V. Betz. 2013. Titan: Enabling large and realistic benchmarks for FPGAs. In Proceedings of the IEEE International Conference on Field-Programmable Logic and Applications. 1--8.
    [30]
    K. Nasartschuk, R. Herpers, and K. Kent. 2012. Visualization support for FPGA architecture exploration. In Proceedings of the IEEE International Symposiumon Rapid System Prototyping. 128--134.
    [31]
    K. K. W. Poon, S. J. E. Wilton, and A. Yan. 2005. A detailed power model for field-programmable gate arrays. ACM Trans. Des. Autom. Electron. Syst. 10, 2, 279--302.
    [32]
    J. Rose, J. Luu, C. W. Yu, O. Densmore, J. Goeders, A. Somerville, K. B. Kent, P. Jamieson, and J. Anderson. 2012. The VTR project: Architecture and CAD for FPGAs from Verilog to routing. In Proceedings of the ACM International Symposium on FPGAs. 77--86.
    [33]
    A. Sharma, C. Ebeling, and S. Hauck. 2005. Architecture-adaptive routability-driven placement for FPGAs. In Proceedings of the International Conference on Field-Programmable Logic and Applications. 427--432.
    [34]
    W. W.-K. Shum and J. H. Anderson. 2011. FPGA glitch power analysis and reduction. In Proceedings of the IEEE/ACM International Symposium on Low-Power Electronics and Design. 27--32.
    [35]
    A. Somerville and K. Kent. 2012. Improving memory support in the VTR flow. In Proceedings of the International Conference on Field Programmable Logic and Applications. 197--202.
    [36]
    N. Steiner, A. Wood, H. Shojaei, J. Couch, P. Athanas, and M. French. 2011. Torc: Towards an open-source tool flow. In Proceedings of the ACM International Symposium on FPGAs. 41--44.
    [37]
    N. Weste and D. Harris. 2010. CMOS VLSI Design: A Circuits and Systems Perspective 4th Ed. Addison Wesley.
    [38]
    H. Wong, V. Betz, and J. Rose. 2011. Comparing FPGA vs. custom CMOS and the impact on processor microarchitecture. In Proceedings of the ACM International Symposium on FPGAs. 5--14.
    [39]
    N.-S. Woo. 1995. Revisiting the cascade circuit in logic cells of lookup table based FPGAs. In Proceedings of the ACM International Symposium on FPGAs. 90--96.
    [40]
    Xilinx. 2011. Xilinx Power Estimator User Guide.
    [41]
    Xilinx. 2013a. Vivado design software. http://www.xilinx.com/.
    [42]
    Xilinx. 2013b. Xilinx Virtex-7 family overview. http://www.xilinx.com/products/silicon-devices/fpga/virtex-7/index.htm.

    Cited By

    View all
    • (2024)CBTune: Contextual Bandit Tuning for Logic Synthesis2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546766(1-6)Online publication date: 25-Mar-2024
    • (2024)Towards High-Throughput Neural Network Inference with Computational BRAM on Nonvolatile FPGAs2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546738(1-6)Online publication date: 25-Mar-2024
    • (2024)An Efficient FPGA Architecture with Turn-Restricted Switch BoxesACM Transactions on Design Automation of Electronic Systems10.1145/3643809Online publication date: 3-Feb-2024
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Reconfigurable Technology and Systems
    ACM Transactions on Reconfigurable Technology and Systems  Volume 7, Issue 2
    June 2014
    199 pages
    ISSN:1936-7406
    EISSN:1936-7414
    DOI:10.1145/2638850
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 04 July 2014
    Accepted: 01 March 2014
    Revised: 01 February 2014
    Received: 01 December 2013
    Published in TRETS Volume 7, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. CAD
    2. FPGA
    3. architecture modeling

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)151
    • Downloads (Last 6 weeks)20
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)CBTune: Contextual Bandit Tuning for Logic Synthesis2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546766(1-6)Online publication date: 25-Mar-2024
    • (2024)Towards High-Throughput Neural Network Inference with Computational BRAM on Nonvolatile FPGAs2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546738(1-6)Online publication date: 25-Mar-2024
    • (2024)An Efficient FPGA Architecture with Turn-Restricted Switch BoxesACM Transactions on Design Automation of Electronic Systems10.1145/3643809Online publication date: 3-Feb-2024
    • (2024)An All-digital Compute-in-memory FPGA Architecture for Deep Learning AccelerationACM Transactions on Reconfigurable Technology and Systems10.1145/364046917:1(1-27)Online publication date: 12-Feb-2024
    • (2024)Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nmACM Transactions on Reconfigurable Technology and Systems10.1145/363905517:1(1-29)Online publication date: 12-Feb-2024
    • (2024)From Topology to Realization in FPGA/VPR RoutingProceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3626202.3637572(85-96)Online publication date: 1-Apr-2024
    • (2024)CFPara: a combination of coarse and fine-grained FPGA parallel routing methodsInternational Conference on Image, Signal Processing, and Pattern Recognition (ISPP 2024)10.1117/12.3033797(183)Online publication date: 13-Jun-2024
    • (2024)A 130nm CMOS Programmable Analog Standard Cell LibraryIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.335507071:6(2497-2510)Online publication date: Jun-2024
    • (2024)An Open-Source Tool to Model and Explore Complex Routing Architecture for FPGA2024 2nd International Symposium of Electronics Design Automation (ISEDA)10.1109/ISEDA62518.2024.10617494(734-739)Online publication date: 10-May-2024
    • (2024)Digital Design Education Using an Open-Source, Cloud-Based FPGA Toolchain2024 Intermountain Engineering, Technology and Computing (IETC)10.1109/IETC61393.2024.10564285(192-197)Online publication date: 13-May-2024
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media