Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

DPCS: Dynamic Power/Capacity Scaling for SRAM Caches in the Nanoscale Era

Published: 31 August 2015 Publication History

Abstract

Fault-Tolerant Voltage-Scalable (FTVS) SRAM cache architectures are a promising approach to improve energy efficiency of memories in the presence of nanoscale process variation. Complex FTVS schemes are commonly proposed to achieve very low minimum supply voltages, but these can suffer from high overheads and thus do not always offer the best power/capacity trade-offs. We observe on our 45nm test chips that the “fault inclusion property” can enable lightweight fault maps that support multiple runtime supply voltages.
Based on this observation, we propose a simple and low-overhead FTVS cache architecture for power/capacity scaling. Our mechanism combines multilevel voltage scaling with optional architectural support for power gating of blocks as they become faulty at low voltages. A static (SPCS) policy sets the runtime cache VDD once such that a only a few cache blocks may be faulty in order to minimize the impact on performance. We describe a Static Power/Capacity Scaling (SPCS) policy and two alternate Dynamic Power/Capacity Scaling (DPCS) policies that opportunistically reduce the cache voltage even further for more energy savings.
This architecture achieves lower static power for all effective cache capacities than a recent more complex FTVS scheme. This is due to significantly lower overheads, despite the inability of our approach to match the min-VDD of the competing work at a fixed target yield. Over a set of SPEC CPU2006 benchmarks on two system configurations, the average total cache (system) energy saved by SPCS is 62% (22%), while the two DPCS policies achieve roughly similar energy reduction, around 79% (26%). On average, the DPCS approaches incur 2.24% performance and 6% area penalties.

References

[1]
Jaume Abella, Javier Carretero, Pedro Chaparro, Xavier Vera, and Antonio González. 2009. Low Vccmin fault-tolerant cache with highly predictable performance. In Proceedings of the International Symposium on Microarchitecture (MICRO).
[2]
Amit Agarwal, Bipul C. Paul, Hamid Mahmoodi, Animesh Datta, and Kaushik Roy. 2005. A process-tolerant cache architecture for improved yield in nanoscale technologies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13, 1 (2005), 27--38.
[3]
Yuvraj Agarwal, Alex Bishop, Tuck-Boon Chan, Matt Fotjik, Puneet Gupta, Andrew B. Kahng, Liangzhen Lai, Paul Martin, Mani Srivastava, Dennis Sylvester, Lucas Wanner, and Bing Zhang. 2014. RedCooper: Hardware Sensor Enabled Variability Software Testbed for Lifetime Energy Constrained Application. Technical Report. University of California, Los Angeles.
[4]
Alaa R. Alameldeen, Zeshan Chishti, Chris Wilkerson, Wei Wu, and Shih-Lien Lu. 2011a. Adaptive cache design to enable reliable low-voltage operation. IEEE Transactions on Computers (TC) 60, 1 (2011), 50--63.
[5]
Alaa R. Alameldeen, Ilya Wagner, Zeshan Chishti, Wei Wu, Chris Wilkerson, and Shih-Lien Lu. 2011b. Energy-efficient cache design using variable-strength error-correcting codes. In Proceedings of the International Symposium on Computer Architecture (ISCA). 461--471.
[6]
Gene M. Amdahl. 1967. Validity of the single processor approach to achieving large scale computing capabilities. In Proceedings of the Spring Joint Computer Conference. 483.
[7]
Amin Ansari, Shuguang Feng, Shantanu Gupta, and Scott Mahlke. 2011. Archipelago: A polymorphic cache design for enabling robust near-threshold operation. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA). 539--550.
[8]
Amin Ansari, Shantanu Gupta, Shuguang Feng, and Scott Mahlke. 2009. ZerehCache: Armoring cache architectures in high defect density technologies. In Proceedings of the International Symposium on Microarchitecture (MICRO). 100--110.
[9]
Naveen Balasubramonian, Rajeev Muralimanohar, and Norman P. Jouppi. 2009. CACTI 6.0: A Tool to Model Large Caches. Technical Report. HP Laboratories.
[10]
Abbas BanaiyanMofrad, Houman Homayoun, and Nikil Dutt. 2011. FFT-Cache: A flexible fault-tolerant cache architecture for ultra low voltage operation. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES). 95--104.
[11]
Alessandro Bardine, Manuel Comparetti, Pierfrancesco Foglia, and Cosimo Antonio Prete. 2014. Evaluation of leakage reduction alternatives for deep submicron dynamic nonuniform cache architecture caches. IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 22, 1 (2014), 185--190.
[12]
Luiz André Barroso and Urs Hölzle. 2007. The case for energy-proportional computing. IEEE Computer 40, 12 (2007), 33--37.
[13]
Luiz André Barroso and Urs Hölzle. 2009. The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines. Vol. 4. Morgan & Claypool Publishers.
[14]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The gem5 simulator. ACM SIGARCH Computer Architecture News 39, 2 (2011), 1--7.
[15]
Bill Bowhill, Blaine Stackhouse, Nevine Nassif, Zibing Yang, Arvind Raghavan, Charles Morganti, Chris Houghton, Dan Krueger, Olivier Franza, Jayen Desai, Jason Crop, Dave Bradley, Chris Bostak, Sal Bhimji, and Matt Becker. 2015. The Xeon Processor E5-2600 v3: A 22nm 18-Core Product Family. In International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.
[16]
Benton H. Calhoun and Anantha Chandrakasan. 2006. A 256kb sub-threshold SRAM in 65nm CMOS. In IEEE International Solid State Circuits Conference (ISSCC) Digest of Technical Papers. 2592--2601.
[17]
Arup Chakraborty, Houman Homayoun, Amin Khajeh, Nikil Dutt, Ahmed Eltawil, and Fadi Kurdahi. 2014. Multicopy cache: A highly energy-efficient cache architecture. ACM Transactions on Embedded Computing Systems (TECS) 13, 5s, Article 150 (Nov. 2014).
[18]
Leland Chang, David M. Fried, Jack Hergenrother, Jeffrey W. Sleight, Robert H. Dennard, Robert K. Montoye, Lidija Sekaric, Sharee J. McNab, Anna W. Topol, Charlotte D. Adams, Kathryn W. Guarini, and Wilfried Haensch. 2005. Stable SRAM cell design for the 32 nm node and beyond. In Symposium on VLSI Technology Digest of Technical Papers. 128--129.
[19]
Meng-Fan Chang, Chien-Fu Chen, Ting-Hao Chang, Chi-Chang Shuai, Yen-Yao Wang, and Hiroyuki Yamauchi. 2015. A 28nm 256kb 6T-SRAM with 280mV improvement in Vmin using a dual-split-control assist scheme. In International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.
[20]
Hsiang-Yun Cheng, Matt Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut Kandemir, Jack Sampson, and Yuan Xie. 2014. EECache: Exploiting design choices in energy-efficient last-level caches for chip multiprocessors. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). 303--306.
[21]
Aparna Mandke Dani, Bharadwaj Amrutur, and Y. N. Srikant. 2014. Toward a scalable working set size estimation method and its application for chip multiprocessors. IEEE Transactions on Computers 63, 6 (June 2014), 1567--1579.
[22]
Howard David, Chris Fallin, Eugene Gorbatov, Ulf R. Hanebutte, and Onur Mutlu. 2011. Memory power management via dynamic voltage/frequency scaling. In Proceedings of the International Conference on Autonomic Computing (ICAC). 31.
[23]
Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F. Wenisch, and Ricardo Bianchini. 2012. CoScale: Coordinating CPU and memory system DVFS in server systems. In Proceedings of the International Symposium on Microarchitecture (MICRO). 143--154.
[24]
Qingyuan Deng, David Meisner, Luiz Ramos, Thomas F. Wenisch, and Ricardo Bianchini. 2011. MemScale: Active low-power modes for main memory. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Vol. 46. ACM, 225--238.
[25]
Ashutosh S. Dhodapkar and James E. Smith. 2002. Managing multi-configuration hardware via dynamic working set analysis. In Proceedings of the International Symposium on Computer Architecture (ISCA). 233--244.
[26]
Ashutosh S. Dhodapkar and James E. Smith. 2003. Comparing program phase detection techniques. In Proceedings of the International Symposium on Microarchitecture (MICRO). 217.
[27]
Nikil Dutt, Puneet Gupta, Alex Nicolau, Abbas BanaiyanMofrad, Mark Gottscho, and Majid Shoushtari. 2014. Multi-layer memory resiliency. In Proceedings of the Design Automation Conference (DAC).
[28]
Behzad Ebrahimi, Reza Asadpour, Ali Afzali-Kusha, and Massoud Pedram. 2015. A FinFET SRAM cell design with BTI robustness at high supply voltages and high yield at low supply voltages. International Journal of Circuit Theory and Applications (Jan. 2015).
[29]
Hadi Esmaeilzadeh, Emily Blem, Renee St. Amant, Karthikeyan Sankaralingam, and Doug Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the International Symposium on Computer Architecture (ISCA). ACM, 365--376.
[30]
Xiaobo Fan, Carla S. Ellis, and Alvin R. Lebeck. 2005. The synergy between power-aware memory systems and processor voltage scaling. Lecture Notes in Computer Science 3164 (2005), 164--179.
[31]
Alexandra Ferreron, Dario Suarez-Gracia, Jesus Alastruey, Teresa Monreal, and Victor Vinals. 2014. Block disabling characterization and improvements in CMPs operating at ultra-low voltages. In Proceedings of the International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).
[32]
Krisztián Flautner, Nam Sung Kim, Steve Martin, David Blaauw, and Trevor Mudge. 2002. Drowsy caches: Simple techniques for reducing leakage power. In Proceedings of the International Symposium on Computer Architecture (ISCA). 148--157.
[33]
Hamid Reza Ghasemi, Stark C. Draper, and Nam Sung Kim. 2011. Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA). 38--49.
[34]
Mark Gottscho, Abbas BanaiyanMofrad, Nikil Dutt, Alex Nicolau, and Puneet Gupta. 2014. Power/capacity scaling: Energy savings with simple fault-tolerant caches. In Proceedings of the Design Automation Conference (DAC).
[35]
Puneet Gupta, Yuvraj Agarwal, Lara Dolecek, Nikil Dutt, Rajesh K. Gupta, Rakesh Kumar, Subhasish Mitra, Alexandru Nicolau, Tajana Simunic Rosing, Mani B. Srivastava, Steven Swanson, and Dennis Sylvester. 2013. Underdesigned and opportunistic computing in presence of hardware variability. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 32, 1 (2013), 8--23.
[36]
Said Hamdioui, Ad J. van de Goor, and Mike Rodgers. 2002. March SS: A test for all static simple RAM faults. In Proceedings of the International Workshop on Memory Technology, Design, and Testing. 95--100.
[37]
Yinhe Han, Ying Wang, Huawei Li, and Xiaowei Li. 2013. Enabling near-threshold voltage (NTV) operation in multi-VDD cache for power reduction. In Proceedings of the International Symposium on Circuits and Systems (ISCAS). 337--340.
[38]
John L. Hennessy and David A. Patterson. 2012. Computer Architecture: A Quantitative Approach (5th ed.). Morgan Kaufmann.
[39]
Farrukh Hijaz and Omer Khan. 2014. NUCA-L1: A non-uniform access latency level-1 cache architecture for multicores operating at near-threshold voltages. ACM Transactions on Architecture and Code Optimization (TACO) 11, 3, Article 29 (Oct. 2014), 1--28.
[40]
Mohammed Abid Hussain and Madhu Mutyam. 2008. Block remap with turnoff: A variation-tolerant cache design technique. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC). 783--788.
[41]
Jangwoo Kim, Nikos Hardavellas, Ken Mai, Babak Falsafi, and James C. Hoe. 2007. Multi-bit error tolerant caches using two-dimensional error coding. In Proceedings of the International Symposium on Microarchitecture (MICRO). 197--209.
[42]
Seokjoong Kim and Matthew R. Guthaus. 2013. SEU-aware low-power memories using a multiple supply voltage array architecture. In Proceedings of the International Conference on Very Large Scale Integration (VLSI-SoC) (IFIP Advances in Information and Communication Technology), Andreas Burg, Aye Cokun, Matthew Guthaus, Srinivas Katkoori, and Ricardo Reis (Eds.), Vol. 418. Springer, Berlin, 181--195.
[43]
Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen, and Hai Li. 2009. The salvage cache: A fault-tolerant cache architecture for next-generation memory technologies. In Proceedings of the International Conference on Computer Design (ICCD). 268--274.
[44]
Animesh Kumar, Jan Rabaey, and Kannan Ramchandran. 2009. SRAM supply voltage scaling: A reliability perspective. In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 782--787.
[45]
Liangzhen Lai and Puneet Gupta. 2014. Accurate and inexpensive performance monitoring for variability-aware systems. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC). 467--473.
[46]
Yanjing Li, Samy Makar, and Subhasish Mitra. 2008. CASP: Concurrent autonomous chip self-test using stored test patterns. In Design, Automation, and Test in Europe (DATE). 885--890.
[47]
Tayyeb Mahmood, Seokin Hong, and Soontae Kim. 2014. Ensuring cache reliability and energy scaling at near-threshold voltage with macho. IEEE Transactions on Computers (TC) 64, 6 (2014), 1694--1706.
[48]
Sparsh Mittal. 2014. A survey of architectural techniques for improving cache power efficiency. Sustainable Computing: Informatics and Systems 4, 1 (2014), 33--43.
[49]
Baker S. Mohammad, Hani Saleh, and Mohammed Ismail. 2014. Design methodologies for yield enhancement and power efficiency in SRAM-based SoCs. IEEE Transactions on Very Large Scale Integration Systems (TVLSI) PP, 99 (2014), 1.
[50]
Serkan Ozdemir, Debjit Sinha, Gokhan Memik, Jonathan Adams, and Hai Zhou. 2006. Yield-aware cache architectures. In Proceedings of the International Symposium on Microarchitecture (MICRO). 15--25.
[51]
Michael Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy, and T. N. Vijaykumar. 2000. Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). 90--95.
[52]
Jungyul Pyo, Youngmin Shin, Hoi-Jin Lee, Sung-il Bae, Min-su Kim, Kwangil Kim, Ken Shin, Yohan Kwon, Heungchul Oh, Jaeyoung Lim, Dong-wook Lee, Jongho Lee, Inpyo Hong, Kyungkuk Chae, Heon-Hee Lee, Sung-Wook Lee, Seongho Song, Chung-Hee Kim, Jin-Soo Park, Heesoo Kim, Sunghee Yun, Uk-Rae Cho, Jae Cheol Son, and Sungho Park. 2015. 20nm high-k metal-gate heterogeneous 64b quad-core CPUs and hexa-core GPU for high-performance and energy-efficient mobile application processor. In International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers.
[53]
Moinuddin K. Qureshi and Zeshan Chishti. 2013. Operating SECDED-based caches at ultra-low voltage with FLAIR. In Proceedings of the International Conference on Dependable Systems and Networks (DSN). 1--11.
[54]
Daniele Rossi, Nicola Timoncini, Michael Spica, and Cecilia Metra. 2011. Error correcting code analysis for cache memory high reliability and performance. In Design, Automation, and Test in Europe (DATE). 1--6.
[55]
Daniel Sánchez, Yiannakis Sazeides, Juan M. Cebrián, José M. García, and Juan L. Aragón. 2013. Modeling the impact of permanent faults in caches. ACM Transactions on Architecture and Code Optimization (TACO) 10, 4, Article 29 (Dec. 2013), 1--23.
[56]
Avesta Sasan, Houman Homayoun, Kiarash Amiri, Ahmed Eltawil, and Fadi Kudahi. 2012. History and variation trained cache (HVT-cache): A process variation aware and fine grain voltage scalable cache with active access history monitoring. In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 498--505.
[57]
Avesta Sasan, Houman Homayoun, Ahmed Eltawil, and Fadi Kurdahi. 2009. A fault tolerant cache architecture for sub 500mV operation: Resizable data composer cache (RDC-Cache). In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES’09). ACM, 251--260.
[58]
Bianca Schroeder, Eduardo Pinheiro, and Wolf-Dietrich Weber. 2011. DRAM errors in the wild: A large-scale field study. Communications of the ACM 54, 2 (2011), 100.
[59]
Philip P. Shirvani and Edward J. McCluskey. 1999. PADded cache: A new fault-tolerance technique for cache memories. In Proceedings of the VLSI Test Symposium. 440--445.
[60]
Mahmut E. Sinangil, Hugh Mair, and Anantha P. Chandrakasan. 2011. A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V. In International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers. 260--262.
[61]
Jawar Singh, Dhiraj K. Pradhan, Simon Hollis, and Saraju P. Mohanty. 2008. A single ended 6T SRAM cell design for ultra-low-voltage applications. IEICE Electronics Express 5, 18 (2008), 750--755.
[62]
Vilas Sridharan and Dean Liberty. 2012. A Field Study of DRAM Errors. Technical Report. AMD.
[63]
Naveen Verma and Anantha P. Chandrakasan. 2008. A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE Journal of Solid-State Circuits (JSSC) 43, 1 (2008), 141--149.
[64]
Jiajing Wang and Benton H. Calhoun. 2011. Minimum supply voltage and yield estimation for large SRAMs under parametric variations. IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 19, 11 (2011), 2120--2125.
[65]
Po-Hao Wang, Wei-Chung Cheng, Yung-Hui Yu, Tang-Chieh Kao, Chi-Lun Tsai, Pei-Yao Chang, Tay-Jyi Lin, Jinn-Shyan Wang, and Tien-Fu Chen. 2013. Variation-aware and adaptive-latency accesses for reliable low voltage caches. In Proceedings of the International Conference on Very Large Scale Integration (VLSI-SoC). 358--363.
[66]
Neil H. E. Weste and David M. Harris. 2011. CMOS VLSI Design: A Circuits and Systems Perspective (4th ed.). Addison-Wesley.
[67]
Chris Wilkerson, Hongliang Gao, Alaa R. Alameldeen, Zeshan Chishti, Muhammad Khellah, and Shih-Lien Lu. 2008. Trading off cache capacity for reliability to enable low voltage operation. In Proceedings of the International Symposium on Computer Architecture (ISCA). 203--214.
[68]
Meilin Zhang, Vladimir M. Stojanovic, and Paul Ampadu. 2012. Reliable ultra-low-voltage cache design for many-core systems. IEEE Transactions on Circuits and Systems II: Express Briefs 59, 12 (2012), 858--862.

Cited By

View all

Index Terms

  1. DPCS: Dynamic Power/Capacity Scaling for SRAM Caches in the Nanoscale Era

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Architecture and Code Optimization
      ACM Transactions on Architecture and Code Optimization  Volume 12, Issue 3
      October 2015
      168 pages
      ISSN:1544-3566
      EISSN:1544-3973
      DOI:10.1145/2818748
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 31 August 2015
      Accepted: 01 June 2015
      Revised: 01 June 2015
      Received: 01 December 2014
      Published in TACO Volume 12, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Process variation
      2. block disable
      3. energy proportionality
      4. fault-tolerant voltage scaling
      5. low power
      6. nanoscale technology
      7. resizable cache
      8. variability-aware

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)122
      • Downloads (Last 6 weeks)26
      Reflects downloads up to 12 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Privacy by Memory Design: Visions and Open ProblemsIEEE Micro10.1109/MM.2023.333709444:1(49-58)Online publication date: 1-Jan-2024
      • (2022)Improving Bank-Level Parallelism for In-Memory Checkpointing in Hybrid Memory SystemsIEEE Transactions on Big Data10.1109/TBDATA.2018.28659648:2(289-301)Online publication date: 1-Apr-2022
      • (2020)AxRAM: A lightweight implicit interface for approximate data accessFuture Generation Computer Systems10.1016/j.future.2020.07.029Online publication date: Jul-2020
      • (2019)Research on Equipment Defect Management System Based on QR CodeIOP Conference Series: Materials Science and Engineering10.1088/1757-899X/677/5/052058677(052058)Online publication date: 10-Dec-2019
      • (2018)A fault-tolerant last level cache for CMPs operating at ultra-low voltageJournal of Parallel and Distributed Computing10.1016/j.jpdc.2018.10.010Online publication date: Nov-2018
      • (2017)Low-Cost Memory Fault Tolerance for IoT DevicesACM Transactions on Embedded Computing Systems10.1145/312653416:5s(1-25)Online publication date: 27-Sep-2017
      • (2017)Measuring the Impact of Memory Errors on Application  PerformanceIEEE Computer Architecture Letters10.1109/LCA.2016.259951316:1(51-55)Online publication date: 1-Jan-2017
      • (2016)Three-Dimensional Dynamic Random Access Memories Using Through-Silicon-ViasIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2016.25477386:3(373-384)Online publication date: Sep-2016
      • (2016)X-Mem: A cross-platform and extensible memory characterization tool for the cloud2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS.2016.7482101(263-273)Online publication date: Apr-2016
      • (2016)A Fault-Tolerant L1 Cache with Predictable Performance by Virtual Filter Cache2016 13th International Conference on Embedded Software and Systems (ICESS)10.1109/ICESS.2016.31(60-66)Online publication date: Aug-2016
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Full Access

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media