Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2966986.2980074guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

OpenDesign Flow Database: The infrastructure for VLSI design and design automation research

Published: 07 November 2016 Publication History

Abstract

Recently, there have been a slew of design automation contests and released benchmarks. ISPD place & route contests, DAC placement contests, timing analysis contests at TAU and CAD contests at ICCAD are good examples in the past and more of new contests are planned in the upcoming conferences. These are interesting and important events that stimulate the research of the target problems and advance the cutting edge technologies. Nevertheless, most contests focus only on the point tool problems instead of addressing the design flow or co-optimization among design tools. OpenDesign Flow Database platform is developed to direct attentions to the overall design flow from logic synthesis to physical design optimization [1]. The goals are to provide an academic reference design flow based on past CAD contest results, the database for design benchmarks and point tool libraries, and standard design input/output formats to build a customized design flow by composing point tool libraries.

8. References

[1]
I. H.-R. Jiang, L. Behjat, V. N. Kravets, Y.-L. Li, and G.-J. Nam. OpenDesign Flow Database. [Online]. Available http://ieee-ceda.orgy/about-ceda/member-technology-organizations/datc
[2]
I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsis, “ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement”, in Proc. Int. Symp. on Physical Design, Mar. 2015, pp. 157–164.
[3]
V. Yutsis, I. S. Bustany, D. Chinnery, J. R. Shinnerl, and W.-H. Liu, “ISPD 2014 Benchmarks with Sub-45nm Technology Rules for Detailed-Routing-Driven Placement”, in Proc. Int. Symp. on Physical Design, Mar. 2014, pp. 161–168.
[4]
M. M. Ozdal, C. Amin, A. Ayupov, S. M. Burns, G. R. Wilke, and C. Zhuo, “An Improved Benchmark Suite for the ISPD-2013 Discrete Cell Sizing Contest”, in Proc. Int. Symp. on Physical Design, Mar. 2013, pp. 168–170.
[5]
M. M. Ozdal, C. Amin, A. Ayupov, S. M. Burns, G. R. Wilke, and C. Zhuo, “The ISPD-2012 Discrete Cell Sizing Contest and Benchmark Suite”, in Proc. Int. Symp. on Physical Design, Mar. 2012, pp. 161–164.
[6]
N. Viswanathan, C. J. Alpert, C. Sze, Z. Li, G.-J. Nam, and J. A. Roy, “The ISPD-2011 Routability-Driven Placement Contest and Benchmark Suite”, in Proc. Int. Symp. on Physical Design, Mar. 2011, pp. 141–146.
[7]
C. N. Sze, “ISPD 2010 High Performance Clock Network Synthesis Contest: Benchmark Suite and Results”, in Proc. Int. Symp. on Physical Design, Mar. 2010, p. 143.
[8]
C. N. Sze, P. Restle, G.-J. Nam, and C. Alpert, “ISPD2009 Clock Network Synthesis Contest”, in Proc. Int. Symp. on Physical Design, Mar. 2009, pp. 149–150.
[9]
G.-J. Nam, C. Sze, and M. Yildiz, “The ISPD Global Routing Benchmark Suite”, in Proc. Int. Symp. on Physical Design, Apr. 2008, pp. 156–159.
[10]
G.-J. Nam, M. Yildiz, D. Z. Pan, and P. H. Madden, “ISPD Placement Contest Updates and ISPD 2007 Global Routing Contest”, in Proc. Int. Symp. on Physical Design, Mar. 2007, pp. 167–167.
[11]
G.-J. Nam, “ISPD 2006 Placement Contest: Benchmark Suite and Results”, in Proc. Int. Symp. on Physical Design, Apr. 2006, pp. 167–167.
[12]
G.-J. Nam, C. J. Alpert, P. Villarrubia, B. Winter, and M. Yildiz, “The ISPD2005 Placement Contest and Benchmark Suite”, in Proc. Int. Symp. on Physical Design, Apr. 2005, pp. 216–220.
[13]
N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei, “The DAC 2012 Routability-Driven Placement Contest and Benchmark Suite”, in Proc. Design Automation Conf., Jun. 2012, pp. 774–782.
[14]
J. Hu, G. Schaeffer, and V. Garg, “TAU 2015 Contest on Incremental Timing Analysis: Incremental Timing and CPPR Analysis”, in Proc. Int. Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Nov. 2015, pp. 882–889.
[15]
D. Sinha, L. Guerra E Silva, J. Wang, S. Raghunathan, D. Netrabile, and A. Shebaita, “TAU 2013 Variation Aware Timing Analysis contest”, in Proc. Int. Symp. on Physical Design, Mar. 2013, pp. 171–178.
[16]
M.-C. Kim, J. Hu, J. Li, and N. Viswanathan, “ICCAD-2015 CAD Contest in Incremental Timing-driven Placement and Benchmark Suite”, in Proc. Int. Conf. on ComputerAided Design, Nov. 2015, pp. 921–926.
[17]
M.-C. Kim, J. Hu, and N. Viswanathan, “ICCAD-2014 CAD Contest in Incremental Timing-driven Placement and Benchmark Suite”, in Proc. Int. Conf. on ComputerAided Design, Nov. 2014, pp. 361–366.
[18]
M.-C. Kim, N. Viswanathan, Z. Li, and C. Alpert, “ICCAD-2013 CAD contest in placement finishing and benchmark suite”, in Proc. Int. Conf. on ComputerAided Design, Nov. 2013.
[19]
N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei, “ICCAD-2012 CAD Contest in Design Hierarchy Aware Routability-Driven Placement and Benchmark Suite”, in Proc. Int. Conf. on ComputerAided Design, Nov. 2012.
[20]
A. B. Kahng, H. Lee, and J. Li, “Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research” in Proc. Great Lakes Symposium on VLSI, May 2014, pp. 27–32.
[21]
Berkeley Logic Synhesis and Verification Group. ABC: A System for Sequential Synthesis and Verification. [Online]. Available: http://www.eecs.berkeley.edu/~alanmi/abc/
[22]
A. E. Caldwell, A. B. Kahng, and I. L. Markov Placement Formats, Rev. 1.2. [Online]. Available: http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/Placement/plFormats.html
[23]
J. A. Roy, D. A. Papa, S. N. Adya, H. H. Chan, A. N. Ng, J. F. Lu, and I. L. Markov, “Capo: Robust and Scalable Open-Source Min-Cut Floorplacer”, in Proc. Int. Symp. on Physical Design, Apr. 2005, pp. 224–226.
[24]
T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, “NTUplace3: an Analytical Placer for Large-Scale Mixed-Size Designs with Preplaced Blocks and Density Constraints”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 7, pp. 1228–1240, Jul. 2008.
[25]
T. F. Chan, J. Cong, J. R. Shinnerl, K. Sze, and M. Xie, “mPL6: Enhanced Multilevel Mixed-Size Placement”, in Proc. Int. Symp. on Physical Design, Apr. 2006, pp. 212–214.
[26]
M.-C. Kim and I. L. Markov, “ComPLx: A Competitive Primal-dual Lagrange Optimization for Global Placement”, in Proc. Design Automation Conf. Jun. 2012, pp. 747–752.
[27]
N. K. Darav, A. Kennings, A. F. Tabrizi, D. Westwick, and L. Behjat, “Eh?Placer: A High-Performance Modern Technology-Driven Placer”, ACM Trans. on Design Automation of Electronic Systems, vol. 21, no. 3, pp. 37:1–37:27, Apr. 2016.
[28]
N. Viswanathan, M. Pan, and C. Chu, “FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control”, in Proc. Asia and South Pacific Design Automation Conf., Jan. 2007, pp. 135–140.
[29]
C. Chu and Y.-C. Wong, “FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 1, Jan. 2008.
[30]
A. Bock, S. Held, N. Kámmerling, and U. Schorr, “Local Search Algorithms for Timing-driven Placement under Arbitrary Delay Models”, in Proc. Design Automation Conf., Jun. 2015, pp. 1–6.
[31]
T.-W. Huang and M. D. F. Wong, “OpenTimer: A High-Performance Timing Analysis Tool”, in Proc. Int. Conf. on ComputerAided Design, Nov. 2015, pp. 895–902.
[32]
P. Y. Lee, I. H.-R. Jiang, C. Li, W. L. Chiu, and Y. M. Yang, “iTimerC 2.0: Fast Incremental Timing and CPPR Analysis”, in Proc. Int. Conf. on ComputerAided Design, Nov. 2015, pp. 890–894.
[33]
G. Flach, T. Reimann, G. Posser, M. Johann, and R. Reis, “Effective Method for Simultaneous Gate Sizing and Vth Assignment Using Lagrangian Relaxation”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 4, pp. 546–557, Apr. 2014.
[34]
M. Cho and D. Z. Pan, “BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP”, in Proc. Design Automation Conf., Jul. 2006, pp. 373–378.
[35]
W.-H. Liu, W.-C. Kao, Y.-L. Li, and K.-Y. Chao, “NCTU-GR 2.0: Multithreaded Collision-Aware Global Routing With Bounded-Length Maze Routing”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 5, pp. 709–722, May 2013.
[36]
Y. Xu, Y. Zhang, and C. Chu, “FastRoute 4.0: Global Router with Efficient via Minimization”, in Proc. Asia and South Pacific Design Automation Conf., Jan. 2009, pp. 576–581.
[37]
J. Hu, J. A. Roy, and I. L. Markov, “Completing High-Quality Global Routes”, in Proc. Int. Symp. on Physical Design, Mar. 2010, pp. 35–41.

Cited By

View all
  • (2023)Invited Paper: IEEE CEDA DATC Emerging Foundations in IC Physical Design and MLCAD Research2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323736(1-7)Online publication date: 28-Oct-2023
  • (2021)Still Benchmarking After All These YearsProceedings of the 2021 International Symposium on Physical Design10.1145/3439706.3446885(47-52)Online publication date: 22-Mar-2021
  • (2021)OpenTimer v2: A New Parallel Incremental Timing Analysis EngineIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.300731940:4(776-789)Online publication date: Apr-2021
  • Show More Cited By

Index Terms

  1. OpenDesign Flow Database: The infrastructure for VLSI design and design automation research
        Index terms have been assigned to the content through auto-classification.

        Comments

        Information & Contributors

        Information

        Published In

        cover image Guide Proceedings
        2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
        Nov 2016
        946 pages

        Publisher

        IEEE Press

        Publication History

        Published: 07 November 2016

        Permissions

        Request permissions for this article.

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 26 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Invited Paper: IEEE CEDA DATC Emerging Foundations in IC Physical Design and MLCAD Research2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323736(1-7)Online publication date: 28-Oct-2023
        • (2021)Still Benchmarking After All These YearsProceedings of the 2021 International Symposium on Physical Design10.1145/3439706.3446885(47-52)Online publication date: 22-Mar-2021
        • (2021)OpenTimer v2: A New Parallel Incremental Timing Analysis EngineIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.300731940:4(776-789)Online publication date: Apr-2021
        • (2021)Implementation and Physical Design of 8/4-Bit Signed Divider2021 8th International Conference on Signal Processing and Integrated Networks (SPIN)10.1109/SPIN52536.2021.9566020(829-834)Online publication date: 26-Aug-2021
        • (2021)DATC RDF-2021: Design Flow and Beyond ICCAD Special Session Paper2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)10.1109/ICCAD51958.2021.9643553(1-6)Online publication date: 1-Nov-2021
        • (2019)Looking Into the Mirror of Open Source: Invited Paper2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD45719.2019.8942131(1-8)Online publication date: Nov-2019
        • (2017)Standard cell library design and optimization methodology for ASAP7 PDKProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199838(999-1004)Online publication date: 13-Nov-2017
        • (2017)DATC RDFProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199819(872-873)Online publication date: 13-Nov-2017
        • (2017)Exploiting cache locality to speedup register clusteringProceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands10.1145/3109984.3110005(191-197)Online publication date: 28-Aug-2017
        • (2017)How Game Engines Can Inspire EDA Tools DevelopmentProceedings of the 2017 ACM on International Symposium on Physical Design10.1145/3036669.3038248(25-31)Online publication date: 19-Mar-2017
        • Show More Cited By

        View Options

        View options

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media