Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Public Access

Monolayer Transistor SRAMs: Toward Low-Power, Denser Memory Systems

Published: 02 March 2017 Publication History

Abstract

Monolayer heterojunction FETs based on vertical heterogeneous transition metal dichalcogenides (TMDCFETs) and planar black phosphorus FETs (BPFETs) have demonstrated excellent subthreshold swing, high IONIOFF, and high scalability, making them attractive candidates for post-CMOS memory design. This article explores TMDCFET and BPFET SRAM design by combining atomistic self-consistent device modeling with SRAM circuit design and simulation. We perform detailed evaluations of the TMDCFET/BPFET SRAMs at a single bitcell and at SRAM array level. Our simulations show that at low operating voltages, TMDCFET/BPFET SRAMs exhibit significant advantages in static power, dynamic read/write noise margin, and read/write delay over nominal 16nm CMOS SRAMs at both bitcell and array-level implementations. We also analyze the effect of process variations on the performance of TMDCFET/BPFET SRAMs. Our simulations demonstrate that TMDCFET/BPFET SRAMs exhibit high tolerance to process variations, which is desirable for low operating voltages.

References

[1]
Amit Agarwal, Hai Li, and Kaushik Roy. 2002. DRG-cache: A data retention gated-ground cache for low power. In Proc. Design Automation Conference.
[2]
Amit Agarwal, Bipul C. Paul, Saibal Mukhopadhyay, and Kaushik Roy. 2005. Process variation in embedded memories: Failure analysis and variation aware architecture. IEEE Solid-State Circuits 40, 9 (2005), 1804--1814.
[3]
M. Alioto. 2012. Ultra-low power VLSI circuit design demystified and explained: A tutorial. IEEE Trans. Circuits and Systems 59, 1 (2012), 3--29.
[4]
ASU. 2012. Predictive Technology Model. Retrieved from http://ptm.asu.edu/.
[5]
Sriram Balasubramanian, Leland Chang, Borivoje Nikolic, and Tsu-Jae King. 2003. Circuit performance implications for double-gate MOSFET scaling below 25nm. In Proc. Silicon Nanoelectronics Workshop.
[6]
Aditya Bansal, Bipul C. Paul, and Kaushik Roy. 2005. Modeling and optimization of fringe capacitance of nanoscale DGMOS devices. IEEE Trans. Electron Devices 52, 2 (2005), 256--262.
[7]
Benton Highsmith Calhoun and Anantha P. Chandrakasan. 2007. A 256kb 65nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE Solid-State Circuits 42, 3 (2007), 680--688.
[8]
Vikas Chandra, Cezary Pietrzyk, and Robert Aitken. 2010. On the efficacy of write-assist techniques in low voltage nanoscale SRAMs. In Proc. Design Automation and Test in Europe.
[9]
Mihir Choudhury, Youngki Yoon, Jing Guo, and Kartik Mohanram. 2008. Technology exploration for graphene nanoribbon FETs. In Proc. Design Automation Conference.
[10]
Saptarshi Das, Abhijith Prakash, Ramon Salazar, and Joerg Appenzeller. 2014. Toward low power electronics: Tunneling phenomena in transition metal dichalcogenides. ACS Nano 8, 2 (2014), 1681--1689.
[11]
Supriyo Datta. 1997. Electronic Transport in Mesoscopic Systems. Cambridge University Press.
[12]
Supriyo Datta. 2005. Quantum Transport: Atom to Transistor. Cambridge University Press.
[13]
Wim Dehaene, Stefan Cosemans, Anselme Vignon, F. Catthoora, and Peter Geens. 2007. Embedded SRAM design in deep submicron technologies. In Proc. European Solid State Circuits Conference.
[14]
Anh-Tuan Do, Kiat-Seng Yeo, and Tony Tae-Hyoung Kim. 2009. A 32kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90nm CMOS. IEEE Solid-State Circuits 44, 2 (2009), 650--658.
[15]
Anh-Tuan Do, Kiat-Seng Yeo, and Tony Tae-Hyoung Kim. 2015. A 32kb 9T SRAM with PVT-tracking read margin enhancement for ultra-low voltage operation. In Proc. Intl. Symposium on Circuits and Systems.
[16]
F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S. Borkar, M. Stan, V. De, and V. Dual. 2000. Dual-Vt SRAM cells with full-swing single-ended bit line sensing for high-performance on-chip cache in 0.13 amp;mu;m technology generation. In Proc. Intl. Symposium Low Power Electronics and Design.
[17]
Nazila Haratipour, Matthew C. Robbins, and Steven J. Koester. 2015. Black phosphorus p-MOSFETs with 7nm HfO2 gate dielectric and low contact resistance. IEEE Electron Device Letters 36, 4 (2015), 411--413.
[18]
ITRS. 2011. International technology roadmap for semiconductors. (2011).
[19]
Deep Jariwala, Vinod K. Sangwan, Lincoln J. Lauhon, Tobin J. Marks, and Mark C. Hersam. 2014. Emerging device applications for semiconducting two-dimensional transition metal dichalcogenides. ACS Nano 8, 2 (2014), 1102--1120.
[20]
Rajesh Kappera, Damien Voiry, Sibel Ebru Yalcin, Brittany Branch, Gautam Gupta, Aditya D. Mohite, and Manish Chhowalla. 2014. Phase-engineered low resistance contacts for ultrathin MoS2 transistors. Nature Materials 13, 12 (2014), 1128--1134.
[21]
Tae-Hyoung Kim, Jason Liu, John Keane, and Chris H. Kim. 2008. A 0.2V, 480kb subthreshold SRAM with 1k cells per bitline for ultra-low-voltage computing. IEEE Solid-State Circuits 43, 2 (2008), 518--529.
[22]
S. Bala Kumar, Gyungseon Seol, and Jing Guo. 2012. Modeling of a vertical tunneling graphene heterojunction field-effect transistor. Applied Physics Letters 101, 3 (2012), 033503-1--033503-5.
[23]
Kai-Tak Lam, Zhipeng Dong, and Jing Guo. 2014a. Performance limits projection of black phosphorous field-effect transistors. IEEE Electron Device Letters 35, 9 (2014), 963--965.
[24]
Kai Tak Lam, Gyungseon Seol, and Jing Guo. 2014b. Operating principles of vertical transistors based on monolayer two-dimensional semiconductor heterojunctions. Applied Physics Letters 105, 1 (2014), 013112-1--013112-5.
[25]
Likai Li, Yijun Yu, Guo Jun Ye, Qingqin Ge, Xuedong Ou, Hua Wu, Donglai Feng, Xian Hui Chen, and Yuanbo Zhang. 2014. Black phosphorus field-effect transistors. Nature Nanotechnology 9, 5 (2014), 372--377.
[26]
Han Liu, Adam T. Neal, Mengwei Si, Yuchen Du, and Peide D. Ye. 2014. The effect of dielectric capping on few-layer phosphorene transistors: Tuning the Schottky barrier heights. IEEE Electron Device Letters 35, 7 (2014), 795--797.
[27]
Mark Lundstrom and Jing Guo. 2006. Nanoscale Transistors: Device Physics, Modeling and Simulation. Springer Science 8 Business Media.
[28]
Satyanand Nalam, Vikas Chandra, Robert C. Aitken, and Benton H. Calhoun. 2011. Dynamic write limited minimum operating voltage for nanoscale SRAMs. In Proc. Design Automation and Test in Europe.
[29]
A. Pavlov and M. Sachdev. 2008. CMOS SRAM Circuit Design and Parametric Test in Nano-scaled Technologies. Springer.
[30]
Harold Pilo, Charlie Barwin, Geordie Braceras, Chris Browning, Steve Lamphier, and Fred Towler. 2007. An SRAM design in 65nm technology node featuring read and write-assist circuits to expand operating voltage. IEEE Solid-State Circuits 42, 4 (2007), 813--819.
[31]
Anisur Rahman, Jing Guo, Supriyo Datta, and Mark S. Lundstrom. 2003. Theory of ballistic nanotransistors. IEEE Trans. Electron Devices 50, 9 (2003).
[32]
Joydeep Rakshit, Runlai Wan, Kai Tak Lam, Jing Guo, and Kartik Mohanram. 2015. Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust SRAM design. In Proc. Design Automation Conference.
[33]
Christopher R. Ryder, Joshua D. Wood, Spencer A. Wells, and Mark C. Hersam. 2016. Chemically tailoring semiconducting two-dimensional transition metal dichalcogenides and black phosphorus. ACS Nano (2016), 3900--3917.
[34]
Angada B. Sachid, Mahmut Tosun, Sujay B. Desai, Ching-Yi Hsu, Der-Hsien Lien, Surabhi R. Madhvapathy, Yu-Ze Chen, Mark Hettick, Jeong Seuk Kang, Yuping Zeng, and others. 2016. Monolithic 3D CMOS using layered semiconductors. Wiley Advanced Materials. 1--8.
[35]
Mohammad Sharifkhani and Manoj Sachdev. 2007. Segmented virtual ground architecture for low-power embedded SRAM. IEEE Trans. VLSI Systems 15, 2 (2007), 196--205.
[36]
J. Singh, Krishnan Ramakrishnan, S. Mookerjea, Suman Datta, Narayanan Vijaykrishnan, and D. Pradhan. 2010. A novel Si-tunnel FET based SRAM design for ultra low-power 0.3V VDD applications. In Proc. Asia and South Pacific Design Automation Conference.
[37]
Y. Taur and T. H. Ning. 1998. Fundamentals of Modern VLSI Devices. Cambridge University Press.
[38]
Ramesh Vaddi, S. Dasgupta, and R. P. Agarwal. 2010. Device and circuit co-design robustness studies in the subthreshold logic for ultralow-power applications for 32nm CMOS. IEEE Trans. Electron Devices 57, 3 (2010), 654--664.
[39]
Naveen Verma and Anantha P. Chandrakasan. 2008. A 256kb 65nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE Solid-State Circuits 43, 1 (2008), 141--149.
[40]
Han Wang, Lili Yu, Yi-Hsien Lee, Yumeng Shi, Allen Hsu, Matthew L. Chin, Lain-Jong Li, Madan Dubey, Jing Kong, and Tomas Palacios. 2012. Integrated circuits based on bilayer MoS2 transistors. ACS Nano Letters 12, 9 (2012), 4674--4680.
[41]
Jiajing Wang, Satyanand Nalam, and Benton H. Calhoun. 2008. Analyzing static and dynamic write margin for nanometer SRAMs. In Proc. Intl. Symposium Low Power Electronics and Design.
[42]
Fengnian Xia, Han Wang, and Yichen Jia. 2014. Rediscovering black phosphorus as an anisotropic layered material for optoelectronics and electronics. Nature Communications 5, 4458 (2014).
[43]
Xuebei Yang, Jyotsna Chauhan, Jing Guo, and Kartik Mohanram. 2010. Graphene tunneling FET and its applications in low power circuit design. In Proc. Great Lakes Symposium on VLSI.
[44]
Xuebei Yang and Kartik Mohanram. 2011. Robust 6T Si tunneling transistor SRAM design. In Proc. Design Automation and Test in Europe.
[45]
Won Seok Yun, S. W. Han, Soon Cheol Hong, In Gee Kim, and J. D. Lee. 2012. Thickness and strain effects on electronic structures of transition metal dichalcogenides: 2H-MX2 semiconductors (M=Mo, W; X=S, Se, Te). Physical Review B 85, 3 (2012), 033305-1--033305-5.
[46]
Kevin Zhang, Uddalak Bhattacharya, Zhanping Chen, Fatih Hamzaoglu, Daniel Murray, Narendra Vallepalli, Yih Wang, B. Zheng, and Mark Bohr. 2005. SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction. IEEE Journal of Solid State Circuits 40, 4 (2005), 895--901.
[47]
Bastian Zimmer, Seng Oon Toh, Huy Vo, Yunsup Lee, Olivier Thomas, Krste Asanovic, and Borivoje Nikolic. 2012. SRAM assist techniques for operation in a wide voltage range in 28nm CMOS. IEEE Trans. Circuits and Systems II: Express Briefs 59, 12 (2012), 853--857.

Cited By

View all
  • (2021)A 4 × 4 8T-SRAM array with single-ended read and differential write scheme for low voltage applicationsSemiconductor Science and Technology10.1088/1361-6641/abf7d336:6(065013)Online publication date: 7-May-2021

Index Terms

  1. Monolayer Transistor SRAMs: Toward Low-Power, Denser Memory Systems

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 13, Issue 2
      Special Issue on Nanoelectronic Circuit and System Design Methods for the Mobile Computing Era and Regular Papers
      April 2017
      377 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/3014160
      • Editor:
      • Yuan Xie
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 02 March 2017
      Accepted: 01 June 2016
      Revised: 01 April 2016
      Received: 01 October 2015
      Published in JETC Volume 13, Issue 2

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Monolayer FET SRAM
      2. noise margins
      3. process variations
      4. static power

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)62
      • Downloads (Last 6 weeks)5
      Reflects downloads up to 18 Aug 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2021)A 4 × 4 8T-SRAM array with single-ended read and differential write scheme for low voltage applicationsSemiconductor Science and Technology10.1088/1361-6641/abf7d336:6(065013)Online publication date: 7-May-2021

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Full Access

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media