Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
Fundamentals of modern VLSI devicesOctober 1998
  • Authors:
  • Yuan Taur,
  • Tak H. Ning
Publisher:
  • Cambridge University Press
  • 40 W. 20 St. New York, NY
  • United States
ISBN:978-0-521-55959-1
Published:01 October 1998
Pages:
469
Skip Bibliometrics Section
Reflects downloads up to 03 Oct 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. Kumar K, Dhar R, Bhattacharya S and Dey R (2021). Performance analysis and development of strain induced quantum well based nano-system device technology, Microsystem Technologies, 27:10, (3703-3710), Online publication date: 1-Oct-2021.
  2. Mukherjee M, Chanda M, Sarkar A and Dey A (2021). Effect of band non-parabolicity on energy sub-band profile for nano-dimensional MOSFET, Microsystem Technologies, 27:11, (4007-4014), Online publication date: 1-Nov-2021.
  3. Yadav R, Dan S, Vidhyadharan S and Hariprasad S (2020). Innovative multi-threshold gate-overlap tunnel FET (GOTFET) devices for superior ultra-low power digital, ternary and analog circuits at 45-nm technology node, Journal of Computational Electronics, 19:1, (291-303), Online publication date: 1-Mar-2020.
  4. Shaw N, Mukhopadhyay B and Sen G (2020). Study of the electrical parameters of a dual-material double-gate TFET using a strained type II staggered Ge1−−SiSn/Ge1−−SiSn heterojunction, Journal of Computational Electronics, 19:4, (1433-1443), Online publication date: 1-Dec-2020.
  5. Angelov G, Nikolov D, Hristov M and Morel H (2019). Technology and Modeling of Nonclassical Transistor Devices, Journal of Electrical and Computer Engineering, 2019, Online publication date: 1-Jan-2019.
  6. Sun J, Li Y and Cao L (2019). A model for nonvolatile p-channel metal---ferroelectric---metal---insulator---semiconductor field-effect transistors (MFMIS FETs), Journal of Computational Electronics, 18:2, (527-533), Online publication date: 1-Jun-2019.
  7. Ana F and Najeeb-Ud-Din (2019). An analytical modeling approach to the electrical behavior of the bottom-contact organic thin-film transistors in presence of the trap states, Journal of Computational Electronics, 18:2, (543-552), Online publication date: 1-Jun-2019.
  8. Verma P, Sharma A, Noor A, Mishra A and Pandey V (2019). A novel approach for noise tolerant energy efficient TSPC dynamic circuit design, Analog Integrated Circuits and Signal Processing, 100:1, (119-131), Online publication date: 1-Jul-2019.
  9. Gavaskar K and Ragupathy U (2019). Low power self-controllable voltage level and low swing logic based 11T SRAM cell for high speed CMOS circuits, Analog Integrated Circuits and Signal Processing, 100:1, (61-77), Online publication date: 1-Jul-2019.
  10. ACM
    Rakshit J, Mohanram K, Wan R, Lam K and Guo J (2017). Monolayer Transistor SRAMs, ACM Journal on Emerging Technologies in Computing Systems, 13:2, (1-28), Online publication date: 10-Mar-2017.
  11. Srinivasan G, Wijesinghe P, Sarwar S, Jaiswal A and Roy K Significance driven hybrid 8T-6T SRAM for energy-efficient synaptic storage in artificial neural networks Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (151-156)
  12. Kumar S, Kumari A and Das M (2016). Development of a simulator for analyzing some performance parameters of nanoscale strained silicon MOSFET-based CMOS inverters, Microelectronics Journal, 55:C, (8-18), Online publication date: 1-Sep-2016.
  13. Upadhyay P, Kar R, Mandal D and Ghoshal S (2015). A design of low swing and multi threshold voltage based low power 12T SRAM cell, Computers and Electrical Engineering, 45:C, (108-121), Online publication date: 1-Jul-2015.
  14. ACM
    Rakshit J, Wan R, Lam K, Guo J and Mohanram K Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust SRAM design Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  15. Laha S, Kaya S, Matolak D, Rayess W, DiTomaso D and Kodi A (2015). A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chip-to-Chip Interconnects, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34:2, (186-198), Online publication date: 1-Feb-2015.
  16. Sugii N (2015). Low-power-consumption fully depleted silicon-on-insulator technology, Microelectronic Engineering, 132:C, (226-235), Online publication date: 25-Jan-2015.
  17. Chuang P, Li D and Sachdev M (2013). Constant delay logic style, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21:3, (554-565), Online publication date: 1-Mar-2013.
  18. Yang H, Chang C, Chao M, Huang R and Lin S (2012). Testing methodology of embedded DRAMs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20:9, (1715-1728), Online publication date: 1-Sep-2012.
  19. ACM
    Takeda S, Miwa S, Usami K and Nakamura H Stepwise sleep depth control for run-time leakage power saving Proceedings of the great lakes symposium on VLSI, (233-238)
  20. Griffin W, Raghunathan A and Roy K (2012). CLIP, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20:5, (791-803), Online publication date: 1-May-2012.
  21. ACM
    Khajeh A, Kim M, Dutt N, Eltawil A and Kurdahi F (2012). Error-Aware Algorithm/Architecture Coexploration for Video Over Wireless Applications, ACM Transactions on Embedded Computing Systems, 11S:1, (1-23), Online publication date: 1-Jun-2012.
  22. ACM
    Golshan S, Khajeh A, Homayoun H, Bozorgzadeh E, Eltawil A and Kurdahi F Reliability-aware placement in SRAM-based FPGA for voltage scaling realization in the presence of process variations Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (257-266)
  23. Dhar S, Pattanaik M and Rajaram P (2011). Advancement in nanoscale CMOS device design en route to ultra-low-power applications, VLSI Design, 2011, (1-19), Online publication date: 1-Jan-2011.
  24. Goudarzi M, Ishihara T and Noori H Software-level instruction-cache leakage reduction using value-dependence of SRAM leakage in nanometer technologies Transactions on high-performance embedded architectures and compilers III, (275-299)
  25. Goudarzi M, Ishihara T and Noori H Software-Level Instruction-Cache Leakage Reduction Using Value-Dependence of SRAM Leakage in Nanometer Technologies Proceedings of the 2011 conference on Transactions on High-Performance Embedded Architectures and Compilers III - Volume 6590, (275-299)
  26. Meterelliyoz M, Song P, Stellari F, Kulkarni J and Roy K (2010). Characterization of random process variations using ultralow-power, high-sensitivity, bias-free sub-threshold process sensor, IEEE Transactions on Circuits and Systems Part I: Regular Papers, 57:8, (1838-1847), Online publication date: 1-Aug-2010.
  27. Tang T, Murray A and Roy S (2010). Methodology of statistical RTS noise analysis with charge-carrier trapping models, IEEE Transactions on Circuits and Systems Part I: Regular Papers, 57:5, (1062-1070), Online publication date: 1-May-2010.
  28. Ndai P, Goel A and Roy K (2010). A scalable circuit-architecture co-design to improve memory yield for high-performance processors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:8, (1209-1219), Online publication date: 1-Aug-2010.
  29. ACM
    Dadgour H, Hussain M, Smith C and Banerjee K Design and analysis of compact ultra energy-efficient logic gates using laterally-actuated double-electrode NEMS Proceedings of the 47th Design Automation Conference, (893-896)
  30. ACM
    Dadgour H, Hussain M and Banerjee K A new paradigm in the design of energy-efficient digital circuits using laterally-actuated double-gate NEMs Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, (7-12)
  31. Gupta V and Anis M (2010). Statistical design of the 6T SRAM bit cell, IEEE Transactions on Circuits and Systems Part I: Regular Papers, 57:1, (93-104), Online publication date: 1-Jan-2010.
  32. Dadgour H and Banerjee K (2010). A novel variation-tolerant keeper architecture for high-performance low-power wide fan-in dynamic OR gates, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:11, (1567-1577), Online publication date: 1-Nov-2010.
  33. Kurdahi F, Eltawil A, Yi K, Cheng S and Khajeh A (2010). Low-power multimedia system design by aggressive voltage scaling, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:5, (852-856), Online publication date: 1-May-2010.
  34. Kang K, Park S, Kim K and Roy K (2010). On-chip variability sensor using phase-locked loop for detecting and correcting parametric timing failures, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:2, (270-280), Online publication date: 1-Feb-2010.
  35. Agostinelli M, Alioto M, Esseni D and Selmi L (2010). Leakage-delay tradeoff in FinFET logic circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:2, (232-245), Online publication date: 1-Feb-2010.
  36. Rasouli S, Endo K and Banerjee K Work-function variation induced fluctuation in bias-temperature-instability characteristics of emerging metal-gate devices and implications for digital design Proceedings of the International Conference on Computer-Aided Design, (714-720)
  37. Tajalli A and Leblebici Y Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits Proceedings of the Conference on Design, Automation and Test in Europe, (711-716)
  38. Kao W, Chuang W, Lin H, Li J and Manquinho V (2010). DFT and minimum leakage pattern generation for static power reduction during test and burn-in, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:3, (392-400), Online publication date: 1-Mar-2010.
  39. Roh H, Kim H, Choi Y, Roh J, Kim Y and Kwon J (2009). A 0.6-V delta-sigma modulator with subthreshold-leakage suppression switches, IEEE Transactions on Circuits and Systems II: Express Briefs, 56:11, (825-829), Online publication date: 1-Nov-2009.
  40. ACM
    Chishti Z, Alameldeen A, Wilkerson C, Wu W and Lu S Improving cache lifetime reliability at ultra-low voltages Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, (89-99)
  41. ACM
    Jaffari J and Anis M Adaptive sampling for efficient failure probability analysis of SRAM cells Proceedings of the 2009 International Conference on Computer-Aided Design, (623-630)
  42. ACM
    Bansal A, Singh R, Kanj R, Mukhopadhyay S, Lee J, Acar E, Singhee A, Kim K, Chuang C, Nassif S, Heng F and Das K Yield estimation of SRAM circuits using "Virtual SRAM Fab" Proceedings of the 2009 International Conference on Computer-Aided Design, (631-636)
  43. Zhang J, Patil N and Mitra S (2009). Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:9, (1307-1320), Online publication date: 1-Sep-2009.
  44. Jonsson F and Olsson H (2009). A low-leakage open-loop frequency synthesizer allowing small-area on-chip loop filter, IEEE Transactions on Circuits and Systems II: Express Briefs, 56:3, (195-199), Online publication date: 1-Mar-2009.
  45. Khajeh A, Gupta A, Dutt N, Kurdahi F, Eltawil A, Khouri K and Abadir M TRAM Proceedings of the Conference on Design, Automation and Test in Europe, (340-345)
  46. Vaddi R, Dasgupta S and Agarwal R (2009). Device and circuit design challenges in the digital subthreshold region for ultralow-power applications, VLSI Design, 2009, (1-14), Online publication date: 1-Jan-2009.
  47. Wilkerson C, Gao H, Alameldeen A, Chishti Z, Khellah M and Lu S Trading off Cache Capacity for Reliability to Enable Low Voltage Operation Proceedings of the 35th Annual International Symposium on Computer Architecture, (203-214)
  48. Amelifard B, Fallah F and Pedram M (2008). Leakage minimization of SRAM cells in a dual-V t and Dual-T ox technology, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:7, (851-860), Online publication date: 1-Jul-2008.
  49. ACM
    Liu S, Qiu Q and Wu Q Full-chip leakage current estimation based on statistical sampling techniques Proceedings of the 18th ACM Great Lakes symposium on VLSI, (391-394)
  50. ACM
    Abu-Rahma M, Chowdhury K, Wang J, Chen Z, Yoon S and Anis M A methodology for statistical estimation of read access yield in SRAMs Proceedings of the 45th annual Design Automation Conference, (205-210)
  51. ACM
    Li T, Zhang W and Yu Z Full-chip leakage analysis in nano-scale technologies Proceedings of the 45th annual Design Automation Conference, (594-599)
  52. ACM
    Liu S, Qiu Q and Wu Q A probabilistic technique for full-chip leakage estimation Proceedings of the 2008 international symposium on Low Power Electronics & Design, (205-208)
  53. ACM
    Wilkerson C, Gao H, Alameldeen A, Chishti Z, Khellah M and Lu S (2008). Trading off Cache Capacity for Reliability to Enable Low Voltage Operation, ACM SIGARCH Computer Architecture News, 36:3, (203-214), Online publication date: 1-Jun-2008.
  54. ACM
    Bennaser M and Moritz C Power and performance tradeoffs with process variation resilient adaptive cache architectures Proceedings of the 21st annual symposium on Integrated circuits and system design, (123-128)
  55. Lin S and Banerjee K (2008). A design-specific and thermally-aware methodology for trading-off power and performance in leakage-dominant CMOS technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:11, (1488-1498), Online publication date: 1-Nov-2008.
  56. Kumar S, Kim C and Sapatnekar S (2008). Body bias voltage computations for process and temperature compensation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:3, (249-262), Online publication date: 1-Mar-2008.
  57. Dadgour H, De V and Banerjee K Statistical modeling of metal-gate work-function variability in emerging device technologies and implications for circuit design Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, (270-277)
  58. Goudarzi M, Ishihara T and Noori H Variation-aware software techniques for cache leakage reduction using value-dependence of SRAM leakage due to within-die process variation Proceedings of the 3rd international conference on High performance embedded architectures and compilers, (224-239)
  59. Mamaluy D and Khan H Optimization and process variation analysis of nano-scale transistors Proceedings of the 12th WSEAS international conference on Circuits, (238-243)
  60. Tsui C, Au R and Choi R (2008). Minimizing the dynamic and sub-threshold leakage power consumption using least leakage vector-assisted technology mapping, Integration, the VLSI Journal, 41:1, (76-86), Online publication date: 1-Jan-2008.
  61. Keyes R (2008). Component variability as a limit in digital electronics, Journal of Computational Electronics, 7:3, (449-453), Online publication date: 1-Sep-2008.
  62. Kunhyuk Kang , Alam M and Roy K Estimation of NBTI Degradation using IDDQ Measurement Proceedings of the 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual, (10-16)
  63. Balasubramanian A, Sternberg A, Fleming P, Bhuva B, Kalemeris S and Massengill L Random Dopant Effect on Vt Variations Affecting the Soft-Error Rates of Nanoscale CMOS Memory Cells Proceedings of the 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual, (318-323)
  64. Sheng-Huei Dai , Hai-Ning Wang , Ming-Tai Chiang , Chrong-Jung Lin and Ya-Chin King Leakage Suppression of Low Voltage Transient Voltage Suppressor Proceedings of the 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual, (592-593)
  65. ACM
    Gu J, Sapatnekar S and Kim C Width-dependent statistical leakage modeling for random dopant induced threshold voltage shift Proceedings of the 44th annual Design Automation Conference, (87-92)
  66. ACM
    Dadgour H and Banerjee K Design and analysis of hybrid NEMS-CMOS circuits for ultra low-power applications Proceedings of the 44th annual Design Automation Conference, (306-311)
  67. ACM
    Kang K, Kim K, Islam A, Alam M and Roy K Characterization and estimation of circuit reliability degradation under NBTI using on-line IDDQ measurement Proceedings of the 44th annual Design Automation Conference, (358-363)
  68. ACM
    Hanson S, Seok M, Sylvester D and Blaauw D Nanometer device scaling in subthreshold circuits Proceedings of the 44th annual Design Automation Conference, (700-705)
  69. ACM
    Kang K, Kim K and Roy K Variation resilient low-power circuit design methodology using on-chip phase locked loop Proceedings of the 44th annual Design Automation Conference, (934-939)
  70. ACM
    Ku J and Ismail Y Thermal-aware methodology for repeater insertion in low-power VLSI circuits Proceedings of the 2007 international symposium on Low power electronics and design, (86-91)
  71. ACM
    Fatemi H, Amelifar B and Pedram M Power optimal MTCMOS repeater insertion for global buses Proceedings of the 2007 international symposium on Low power electronics and design, (98-103)
  72. ACM
    Sathanur A, Pullini A, Benini L, Macii A, Macii E and Poncino M Timing-driven row-based power gating Proceedings of the 2007 international symposium on Low power electronics and design, (104-109)
  73. ACM
    Choi J, Cher C, Franke H, Hamann H, Weger A and Bose P Thermal-aware task scheduling at the system software level Proceedings of the 2007 international symposium on Low power electronics and design, (213-218)
  74. Hwang M, Cakici T and Roy K Interactive presentation: Process tolerant β-ratio modulation for ultra-dynamic voltage scaling Proceedings of the conference on Design, automation and test in Europe, (1550-1555)
  75. Ku J, Ozdemir S, Memik G and Ismail Y (2007). Thermal management of on-chip caches through power density minimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:5, (592-604), Online publication date: 1-May-2007.
  76. Ku J and Ismail Y (2007). Thermal-aware methodology for repeater insertion in low-power VLSI circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:8, (963-970), Online publication date: 1-Aug-2007.
  77. Agarwal A, Kang K, Bhunia S, Gallagher J and Roy K (2007). Device-aware yield-centric dual-Vt design under parameter variations in nanoscale technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:6, (660-671), Online publication date: 1-Jun-2007.
  78. Choi J, Murthy J and Roy K The effect of process variation on device temperature in FinFET circuits Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (747-751)
  79. Kang K, Park S, Roy K and Alam M Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (730-734)
  80. ACM
    Chang H and Sapatnekar S (2007). Prediction of leakage power under process uncertainties, ACM Transactions on Design Automation of Electronic Systems, 12:2, (12-es), Online publication date: 1-Apr-2007.
  81. Zhang Y and Ang D (2007). Non-classical hot-electron mechanism and its implications on the reliability and scalability of the high- κ dielectric N-MOS Flash memory cell, Microelectronic Engineering, 84:9-10, (1929-1933), Online publication date: 1-Sep-2007.
  82. Agarwal K, Rao R, Sylvester D and Brown R (2007). Parametric yield analysis and optimization in leakage dominated technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:6, (613-623), Online publication date: 1-Jun-2007.
  83. Paul B and Roy K (2006). Impact of Body Bias on Delay Fault Testing of Sub-100 nm CMOS Circuits, Journal of Electronic Testing: Theory and Applications, 22:2, (115-124), Online publication date: 1-Apr-2006.
  84. Kumar S, Kim C and Sapatnekar S Impact of NBTI on SRAM Read Stability and Design for Reliability Proceedings of the 7th International Symposium on Quality Electronic Design, (210-218)
  85. Agarwal A, Mukhopadhyay S, Raychowdhury A, Roy K and Kim C (2006). Leakage Power Analysis and Reduction for Nanoscale Circuits, IEEE Micro, 26:2, (68-80), Online publication date: 1-Mar-2006.
  86. Ramalingam A, Kodakara S, Devgan A and Pan D Robust analytical gate delay modeling for low voltage circuits Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (61-66)
  87. Ku J and Ismail Y Area optimization for leakage reduction and thermal stability in nanometer scale technologies Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (231-236)
  88. Rasouli S, Amirabadi A, Seyedi A and Afzali-Kusha A Double edge triggered Feedback Flip-Flop in sub 100NM technology Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (297-302)
  89. ACM
    Oliver L, Chakrabarty K and Massoud H An evaluation of the impact of gate oxide tunneling on dual-V-based leakage reduction techniques Proceedings of the 16th ACM Great Lakes symposium on VLSI, (105-110)
  90. ACM
    Kanj R, Joshi R and Nassif S Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events Proceedings of the 43rd annual Design Automation Conference, (69-72)
  91. ACM
    Ghosh S, Mukhopadhyay S, Kim K and Roy K Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM Proceedings of the 43rd annual Design Automation Conference, (971-976)
  92. ACM
    Dadgour H, Joshi R and Banerjee K A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates Proceedings of the 43rd annual Design Automation Conference, (977-982)
  93. ACM
    Raychowdhury A, Fong X, Chen Q and Roy K Analysis of super cut-off transistors for ultralow power digital logic circuits Proceedings of the 2006 international symposium on Low power electronics and design, (2-7)
  94. ACM
    Chang I, Kim J and Roy K Robust level converter design for sub-threshold logic Proceedings of the 2006 international symposium on Low power electronics and design, (14-19)
  95. ACM
    Kil J, Gu J and Kim C A high-speed variation-tolerant interconnect technique for sub threshold circuits using capacitive boosting Proceedings of the 2006 international symposium on Low power electronics and design, (67-72)
  96. ACM
    Jaffari J and Anis M Variability-aware device optimization under ION and leakage current constraints Proceedings of the 2006 international symposium on Low power electronics and design, (119-122)
  97. ACM
    Mani M, Sing A and Orshansky M Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (19-26)
  98. ACM
    Lin S and Banerjee K An electrothermally-aware full-chip substrate temperature gradient evaluation methodology for leakage dominant technologies with implications for power estimation and hot-spot management Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (568-574)
  99. ACM
    Choi J, Bansal A, Meterelliyoz M, Murthy J and Roy K Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (583-586)
  100. Budnik M and Roy K Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network Proceedings of the conference on Design, automation and test in Europe: Proceedings, (1116-1121)
  101. Ketchen M and Bhushan M (2006). Product-representative "At speed" test structures for CMOS characterization, IBM Journal of Research and Development, 50:4/5, (451-468), Online publication date: 1-Jul-2006.
  102. Chen Q, Mukhopadhyay S, Bansal A and Roy K Circuit-aware device design methodology for nanometer technologies Proceedings of the conference on Design, automation and test in Europe: Proceedings, (983-988)
  103. Akino T and Hamahata T A clock generator driven by a Unified-CBiCMOS buffer driver for high speed and low energy operation Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (225-236)
  104. Raychowdhury A, Paul B, Bhunia S and Roy K (2005). Computing with subthreshold leakage, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:11, (1213-1224), Online publication date: 1-Nov-2005.
  105. ACM
    Chang A and Dally W Explaining the gap between ASIC and custom power Proceedings of the 42nd annual Design Automation Conference, (281-284)
  106. ACM
    Bhunia S, Banerjee N, Chen Q, Mahmoodi H and Roy K A novel synthesis approach for active leakage power reduction using dynamic supply gating Proceedings of the 42nd annual Design Automation Conference, (479-484)
  107. ACM
    Chang H and Sapatnekar S Full-chip analysis of leakage power under process variations, including spatial correlations Proceedings of the 42nd annual Design Automation Conference, (523-528)
  108. ACM
    Mukhopadhyay S, Kim K, Chuang C and Roy K Modeling and analysis of total leakage currents in nanoscale double gate devices and circuits Proceedings of the 2005 international symposium on Low power electronics and design, (8-13)
  109. ACM
    Agarwal A, Kang K, Bhunia S, Gallagher J and Roy K Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations Proceedings of the 2005 international symposium on Low power electronics and design, (14-19)
  110. ACM
    Xu Y, Chen J, Luo Z and Li X Vector extraction for average total power estimation Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (1086-1089)
  111. Jharia B, Sarkar S and Agarwal R Analytical Study of Impact Ionization and Subthreshold Current in Submicron n-MOSFET Proceedings of the 6th International Symposium on Quality of Electronic Design, (72-76)
  112. Raychowdhury A, Mukhopadhyay S and Roy K A Feasibility Study of Subthreshold SRAM Across Technology Generations Proceedings of the 2005 International Conference on Computer Design, (417-424)
  113. Mukhopadhyay S, Bhunia S and Roy K Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (224-229)
  114. Chen Q, Mahmoodi H, Bhunia S and Roy K (2005). Efficient testing of SRAM with optimized March sequences and a novel DFT technique for emerging failures due to process variations, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:11, (1286-1295), Online publication date: 1-Nov-2005.
  115. Kang K, Paul B and Roy K Statistical Timing Analysis using Levelized Covariance Propagation Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (764-769)
  116. Ku J, Ozdemir S, Memik G and Ismail Y Thermal Management of On-Chip Caches Through Power Density Minimization Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, (283-293)
  117. Agarwal A, Kang K and Roy K Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (736-741)
  118. Roy K, Mahmoodi H, Mukhopadhyay S, Ananthan H, Bansal A and Cakici T Double-gate SOI devices for low-power and high-performance applications Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (217-224)
  119. Matick R and Schuster S (2005). Logic-based eDRAM, IBM Journal of Research and Development, 49:1, (145-165), Online publication date: 1-Jan-2005.
  120. Oniciuc L and Andrei P Random doping induced fluctuations in p-n junction diodes Proceedings of the 4th WSEAS international conference on Electronics, control and signal processing, (18-23)
  121. Ishihara T Energy-efficient embedded system design at 90nm and below Proceedings of the 6th international symposium on high-performance computing and 1st international conference on Advanced low power systems, (452-465)
  122. Li X, Walter J and Bernstein J Simulating and Improving Microelectronic Device Reliability by Scaling Voltage and Temperature Proceedings of the 6th International Symposium on Quality of Electronic Design, (496-502)
  123. Mukhopadhyay S, Mahmoodi H and Roy K Statistical design and optimization of SRAM cell for yield enhancement Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (10-13)
  124. ACM
    Ananthan H, Kim C and Roy K Larger-than-vdd forward body bias in sub-0.5V nanoscale CMOS Proceedings of the 2004 international symposium on Low power electronics and design, (8-13)
  125. ACM
    Paul B, Raychowdhury A and Roy K Device optimization for ultra-low power digital sub-threshold operation Proceedings of the 2004 international symposium on Low power electronics and design, (96-101)
  126. ACM
    Zhang S, Wason V and Banerjee K A probabilistic framework to estimate full-chips subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations Proceedings of the 2004 international symposium on Low power electronics and design, (156-161)
  127. Clark L, Morrow M and Brown W (2004). Reverse-body bias and supply collapse for low effective standby power, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12:9, (947-956), Online publication date: 1-Sep-2004.
  128. Raychowdhury A and Roy K Nanometer scale technologies Nano, quantum and molecular computing, (5-33)
  129. ACM
    Basu A, Lin S, Wason V, Mehrotra A and Banerjee K Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era Proceedings of the 41st annual Design Automation Conference, (884-887)
  130. ACM
    Choi S, Paul B and Roy K Novel sizing algorithm for yield improvement under process variation in nanometer technology Proceedings of the 41st annual Design Automation Conference, (454-459)
  131. ACM
    Capodieci L, Gupta P, Kahng A, Sylvester D and Yang J Toward a methodology for manufacturability-driven design rule exploration Proceedings of the 41st annual Design Automation Conference, (311-316)
  132. ACM
    Agarwal A, Kim C, Mukhopadhyay S and Roy K Leakage in nano-scale technologies Proceedings of the 41st annual Design Automation Conference, (6-11)
  133. Choi S and Roy K A New Crosstalk Noise Model for DOMINO Logic Circuits Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  134. ACM
    Kim K, Joshi R and Chuang C Strained-si devices and circuits for low-power applications Proceedings of the 2003 international symposium on Low power electronics and design, (180-183)
  135. ACM
    Mukhopadhyay S and Roy K Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation Proceedings of the 2003 international symposium on Low power electronics and design, (172-175)
  136. ACM
    Neau C and Roy K Optimal body bias selection for leakage improvement and process compensation over different technology generations Proceedings of the 2003 international symposium on Low power electronics and design, (116-121)
  137. ACM
    Agarwal A and Roy K A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime Proceedings of the 2003 international symposium on Low power electronics and design, (18-21)
  138. ACM
    Kim C, Kim J, Mukhopadhyay S and Roy K A forward body-biased low-leakage SRAM cache Proceedings of the 2003 international symposium on Low power electronics and design, (6-9)
  139. ACM
    Mukhopadhyay S, Raychowdhury A and Roy K Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling Proceedings of the 40th annual Design Automation Conference, (169-174)
  140. Kumar M and Venkateshrao D A New Lateral SiGe-Base PNM Schottky Collector Bipolar Transistor on SOI for Non-saturating VLSI Logic Design Proceedings of the 16th International Conference on VLSI Design
  141. ACM
    Narendra S, De V, Borkar S, Antoniadis D and Chandrakasan A Full-chip sub-threshold leakage power prediction model for sub-0.18 μm CMOS Proceedings of the 2002 international symposium on Low power electronics and design, (19-23)
  142. Taur Y (2002). CMOS design near the limit of scaling, IBM Journal of Research and Development, 46:2-3, (213-222), Online publication date: 1-Mar-2002.
  143. Mandelman J, Dennard R, Bronner G, DeBrosse J, Divakaruni R, Li Y and Radens C (2002). Challenges and future directions for the scaling of dynamic random-access memory (DRAM), IBM Journal of Research and Development, 46:2-3, (187-212), Online publication date: 1-Mar-2002.
  144. Ning T (2002). Why BiCMOS and SOI BiCMOS?, IBM Journal of Research and Development, 46:2-3, (181-186), Online publication date: 1-Mar-2002.
  145. Shahidi G (2002). SOI technology for the GHz era, IBM Journal of Research and Development, 46:2-3, (121-131), Online publication date: 1-Mar-2002.
  146. ACM
    Kao J, Narendra S and Chandrakasan A Subthreshold leakage modeling and reduction techniques Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (141-148)
  147. ACM
    Im H Physical insight into fractional power dependence of saturation current on gate voltage in advanced short channel MOSFETS (alpha-power law model) Proceedings of the 2002 international symposium on Low power electronics and design, (13-18)
  148. ACM
    Im H, Inukai T, Gomyo H, Hiramoto T and Sakurai T VTCMOS characteristics and its optimum conditions predicted by a compact analytical model Proceedings of the 2001 international symposium on Low power electronics and design, (123-128)
  149. ACM
    Kosonocky S, Immediato M, Cottrell P, Hook T, Mann R and Brown J Enchanced multi-threshold (MTCMOS) circuits using variable well bias Proceedings of the 2001 international symposium on Low power electronics and design, (165-169)
  150. ACM
    Zhang R, Roy K and Janes D Double-gate fully-depleted SOI transistors for low-power high-performance nano-scale circuit design Proceedings of the 2001 international symposium on Low power electronics and design, (213-218)
  151. Gutmann P Data remanence in semiconductor devices Proceedings of the 10th conference on USENIX Security Symposium - Volume 10
  152. ACM
    Sirisantana N, Cao A, Davidson S, Kok Koh C and Roy K Selectively clocked skewed logic (SCSL) Proceedings of the 2001 international symposium on Low power electronics and design, (267-270)
  153. ACM
    Nose K and Sakurai T Optimization of VDD and VTH for low-power and high speed applications Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (469-474)
  154. High-Performance Low-Power CMOS Circuits Using Multiple Channel Length and Multiple Oxide Thickness Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  155. Tsang J, Kash J and Vallett D (2000). Picosecond imaging circuit analysis, IBM Journal of Research and Development, 44:4, (583-603), Online publication date: 1-Jul-2000.
  156. Buchanan D (1999). Scaling the gate dielectric, IBM Journal of Research and Development, 43:3, (245-264), Online publication date: 1-May-1999.
Contributors
  • Electrical and Computer Engineering Department
  • IBM Thomas J. Watson Research Center

Reviews

Vitit Kantabutra

This well-written classroom text on VLSI devices is intended for advanced undergraduates and graduate students. Though written as a classroom text, complete with exercises, this book will also prove valuable to practicing designers and researchers because of the many advanced topics included. The text covers a wide range of material for its size, including topics that have recently become important for both MOSFET and bipolar devices, such as short-channel effect, velocity saturation, breakdown voltage, base-widening effects, and power dissipation. Device textbooks are difficult to write well, perhaps due to the number of physical assumptions that have to be made in order to make the mathematical models tractable. Given that, the authors have done a remarkable job of explaining things clearly. The judicious inclusion of bold, italicized phrases is quite helpful. Chapter 1, the introductory chapter, gives a good but brief overview of modern MOS and bipolar devices and of the rest of the book. Chapter 2, on basic device physics, goes all the way from energy bands to such modern topics as thin-i-layer p-i-n diodes. Chapters 3 through 5 are about MOSFETs. Chapter 3 introduces MOSFET devices, starting with long-channel MOSFETs and then moving on to the more important short-channel MOSFETs of today, where analysis becomes more complicated partly because the relevant mathematical models (such as the field pattern in the depletion region) are more complex than those used for analyzing long-channel devices. Chapter 4 examines key CMOS device design issues. After a discussion of scaling, the chapter examines two important issues—threshold voltage and channel length. Chapter 5 discusses some basic CMOS circuit elements and their layouts, then goes on to discuss parasitics and the sensitivity of CMOS delay to device parameters. The chapter closes with a discussion of advanced CMOS devices and their performance factors. The rest of book, chapters 6 through 8, is on modern bipolar devices. Chapter 6 introduces bipolar transistors, going from the basic operations to breakdown voltages. Chapter 7 is on bipolar device design, covering the design of emitter, base, and collector regions, as well as modern issues such as polysilicon emitters and SiGe-base bipolar transistors. Finally, chapter 8 covers bipolar performance factors, including device optimization and scaling for digital and analog circuits. The book is logically organized. Both the ideas and the presentation are first-rate. The typesetting and diagrams are superb. As mentioned earlier, this book includes a large amount of material for its size. Thus the presentation, however clear, is dense and can take some time to read. There is no evidence that the book has been tried in the classroom, so it remains to be seen how well it would actually work as a classroom text. There is also no evidence of any teaching aids, such as a CD-ROM, Web site, or instructor's manual.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.

Recommendations