Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery

Published: 27 September 2017 Publication History

Abstract

The energy efficiency of digital architectures is tightly linked to the voltage level (Vdd) at which they operate. Aggressive voltage scaling is therefore mandatory when ultra-low power processing is required. Nonetheless, the lowest admissible Vdd is often bounded by reliability concerns, especially since static and dynamic non-idealities are exacerbated in the near-threshold region, imposing costly guard-bands to guarantee correctness under worst-case conditions. A striking alternative, explored in this paper, waives the requirement for unconditional correctness, undergoing more relaxed constraints. First, after a run-time failure, processing correctly resumes at a later point in time. Second, failures induce a limited Quality-of-Service (QoS) degradation. We focus our investigation on the practical scenario of embedded bio-signal analysis, a domain in which energy efficiency is key, while applications are inherently error-tolerant to a certain degree. Targeting a domain-specific multi-core platform, we present a study of the impact of inexactness on application-visible errors. Then, we introduce a novel methodology to manage them, which requires minimal hardware resources and a negligible energy overhead. Experimental evidence show that, by tolerating 900 errors/hour, the resulting inexact platform can achieve an efficiency increase of up to 24%, with a QoS degradation of less than 3%.

References

[1]
H. Alemdar et al. 2010. Wireless sensor networks for healthcare: A survey. Computer Networks 54, 15 (October 2010), 2688--2710.
[2]
M. Ashouei et al. 2011. A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4 V. In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International. IEEE, 332--334.
[3]
L. Atzori et al. 2010. The internet of things: A survey. Computer Networks 54, 15 (October 2010), 2787--2805.
[4]
S. Borkar et al. 2003. Parameter variations and impact on circuits and microarchitecture. In Proceedings of the 40th annual Design Automation Conference. ACM, 338--342.
[5]
D. Bortolotti et al. 2014. Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design. ACM, 45--50.
[6]
R. Braojos et al. 2014. Hardware/software approach for code synchronization in low-power multi-core sensor nodes. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. IEEE, 1--6.
[7]
R. Braojos et al. 2014. Ultra-low power design of wearable cardiac monitoring systems. In Proceedings of the 51st Annual Design Automation Conference. ACM, 1--6.
[8]
R. Braojos et al. 2016. A synchronization-based hybrid-memory multi-core architecture for energy-efficient biomedical signal processing. IEEE Trans. Comput. (September 2016).
[9]
J. Constantin et al. 2012. TamaRISC-CS: An ultra-low power application-specific processor for compressed sensing. In VLSI and System-on-Chip (VLSI-SoC), 2012 IEEE/IFIP 20th International Conference on. IEEE, 159--164.
[10]
R. H. Dennard et al. 1974. Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE Journal of Solid-State Circuits 9, 5 (January 1974), 256--268.
[11]
A. Dogan et al. 2013. Synchronizing code execution on ultra-low power embedded multi-channel signal analysis platforms. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE), 2013. IEEE, 396--399.
[12]
R. G. Dreslinski et al. 2010. Near-threshold computing: Reclaiming moore’s law through energy efficient integrated circuits. Proc. IEEE 98, 2 (January 2010), 253--266.
[13]
H. Esmaeilzadeh et al. 2012. Architecture support for disciplined approximate programming. In ACM SIGPLAN Notices, Vol. 47. ACM, 301--312.
[14]
EU-MEP. 2015. Cardiovascular diseases facts and figures. www.mepheartgroup.eu/index.php/facts-a-figures. (2015).
[15]
P. Ghanta et al. 2005. Stochastic power grid analysis considering process variations. In Proceedings of the conference on Design, Automation and Test in Europe-Volume 2. IEEE Computer Society, 964--969.
[16]
C. Gomez et al. 2010. Wireless home automation networks: A survey of architectures and technologies. IEEE Communications Magazine 48, 6 (May 2010), 92--101.
[17]
P. Gupta et al. 2013. Underdesigned and Opportunistic Computing in Presence of Hardware Variability. Trans. Comp.-Aided Des. Integ. Cir. Sys. 32, 1 (Jan. 2013), 8--23.
[18]
Y. Hao et al. 2008. Wireless body sensor networks for health-monitoring applications. Physiological Measurement 29, 11 (October 2008), R27.
[19]
Y. He et al. 2010. Xetal-pro: an ultra-low energy and high throughput SIMD processor. In Proceedings of the 47th Design Automation Conference. ACM, 543--548.
[20]
K. J. Heilman et al. 2007. Accuracy of the LifeShirt®(Vivometrics) in the detection of cardiac rhythms. Biological Psychology 75, 3 (July 2007), 300--305.
[21]
Texas Instruments. 2013. 2.4-GHz Bluetooth® low energy System-on-Chip. www.ti.com/lit/ds/symlink/cc2540.pdf. (June 2013).
[22]
ITRS. 2016. International Technology Roadmap for Semiconductors. www.itrs2.net/. (2016).
[23]
U. R. Karpuzcu et al. 2012. VARIUS-NTV: A microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages. In Dependable Systems and Networks (DSN), 2012 42nd Annual IEEE/IFIP International Conference on. IEEE, 1--11.
[24]
S. Khare et al. 2013. Prospects of near-threshold voltage design for green computing. In VLSI Design and 2013 12th International Conference on Embedded Systems (VLSID), 2013 26th International Conference on. IEEE, 120--124.
[25]
P. K. Krause et al. 2011. Adaptive voltage over-scaling for resilient applications. In 2011 Design, Automation Test in Europe. 1--6.
[26]
J. Kwong et al. 2011. An energy-efficient biomedical signal processing platform. IEEE Journal of Solid-State Circuits 46, 7 (June 2011), 1742--1753.
[27]
X. Li et al. 2007. Application-level correctness and its impact on fault tolerance. In Proceedings of the 2007 IEEE 13th International Symposium on High Performance Computer Architecture (HPCA’07). IEEE Computer Society, Washington, DC, USA, 181--192.
[28]
J. W. S. Liu et al. 1994. Imprecise computations. Proc. IEEE 82, 1 (Jan 1994), 83--94.
[29]
S. Lobodzinski. 2013. ECG patch monitors for assessment of cardiac rhythm abnormalities. Progress in Cardiovascular Diseases 56, 2 (September 2013), 224--229.
[30]
A. Mainwaring et al. 2002. Wireless sensor networks for habitat monitoring. In Proceedings of the 1st ACM International Workshop on Wireless Sensor Networks and Applications. ACM, 88--97.
[31]
W. K. Mak et al. 2007. Voltage island generation under performance requirement for SoC designs. In Proceedings of the 2007 Asia and South Pacific Design Automation Conference. IEEE Computer Society, 798--803.
[32]
H. Mamaghanian et al. 2011. Compressed sensing for real-time energy-efficient ECG compression on wireless body sensor nodes. IEEE Transactions on Biomedical Engineering 58, 9 (May 2011), 2456--2466.
[33]
S. Mittal. 2016. A survey of architectural techniques for near-threshold computing. ACM Journal on Emerging Technologies in Computing Systems (JETC) 12, 4 (July 2016), 46.
[34]
S. Mittal. 2016. A survey of techniques for approximate computing. ACM Computing Surveys (CSUR) 48, 4 (May 2016), 62.
[35]
Y. Morita et al. 2007. An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment. In VLSI Circuits, 2007 IEEE Symposium on. IEEE, 256--257.
[36]
S. S. Mukherjee et al. 2005. The Soft Error Problem: An Architectural Perspective. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture (HPCA’05). IEEE Computer Society, Washington, DC, USA, 243--247.
[37]
S. Mukhopadhyay et al. 2005. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems 24, 12 (November 2005), 1859--1880.
[38]
A. Pantelopoulos et al. 2010. A survey on wearable sensor-based systems for health monitoring and prognosis. IEEE Transactions on Systems, Man, and Cybernetics, Part C (Applications and Reviews) 40, 1 (January 2010), 1--12.
[39]
PhysioBank. 2012. MIT-BIH Normal Sinus Rhythm Database. https://www.physionet.org/physiobank/database/nsrdb/. (February 2012).
[40]
J. Schlachter et al. 2017. Design and Applications of Approximate Circuits by Gate-Level Pruning. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (February 2017).
[41]
M. Seok et al. 2008. The Phoenix Processor: A 30pW platform for sensor applications. In VLSI Circuits, 2008 IEEE Symposium on. IEEE, 188--189.
[42]
S. R. Sridhara et al. 2011. Microwatt embedded processor platform for medical system-on-chip applications. IEEE Journal of Solid-State Circuits 46, 4 (February 2011), 721--730.
[43]
Y. Sun et al. 2002. ECG signal conditioning by morphological filtering. Computers in Biology and Medicine 32, 6 (November 2002), 465--479.
[44]
Synopsys. 2017. ASIP Designer. www.synopsys.com/dw/ipdir.php?ds&equation;asip-designer. (2017).
[45]
G. V. Varatkar et al. 2008. Error-resilient Motion Estimation Architecture. IEEE Trans. Very Large Scale Integr. Syst. 16, 10 (Oct. 2008), 1399--1412.
[46]
WHO. 2017. The top 10 causes of death (Fact sheet no 310). www.who.int/mediacentre/factsheets/fs310/en/. (January 2017).
[47]
F. Zhang et al. 2012. Design of ultra-low power biopotential amplifiers for biosignal acquisition applications. IEEE Transactions on Biomedical Circuits and Systems 6, 4 (January 2012), 344--355.
[48]
M. Zhang et al. 2006. Soft-error-rate-analysis (SERA) methodology. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25, 10 (August 2006), 2140--2155.
[49]
X. Zhang et al. 2013. Characterizing and evaluating voltage noise in multi-core near-threshold processors. In Proceedings of the 2013 International Symposium on Low Power Electronics and Design. IEEE Press, 82--87.

Cited By

View all
  • (2022)A Formal Framework for Maximum Error Estimation in Approximate Logic SynthesisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.307565141:4(840-853)Online publication date: Apr-2022
  • (2020)Energy-Efficient IoT-Health Monitoring System using Approximate ComputingInternet of Things10.1016/j.iot.2020.100166(100166)Online publication date: Jan-2020
  • (2019)Tailoring SVM Inference for Resource-Efficient ECG-Based Epilepsy Monitors2019 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2019.8714858(948-951)Online publication date: Mar-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 16, Issue 5s
Special Issue ESWEEK 2017, CASES 2017, CODES + ISSS 2017 and EMSOFT 2017
October 2017
1448 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/3145508
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 27 September 2017
Accepted: 01 June 2017
Revised: 01 June 2017
Received: 01 April 2017
Published in TECS Volume 16, Issue 5s

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Inexact computing
  2. Low-power architectural optimization
  3. Wireless Body Sensor Nodes

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)1
Reflects downloads up to 09 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2022)A Formal Framework for Maximum Error Estimation in Approximate Logic SynthesisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.307565141:4(840-853)Online publication date: Apr-2022
  • (2020)Energy-Efficient IoT-Health Monitoring System using Approximate ComputingInternet of Things10.1016/j.iot.2020.100166(100166)Online publication date: Jan-2020
  • (2019)Tailoring SVM Inference for Resource-Efficient ECG-Based Epilepsy Monitors2019 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2019.8714858(948-951)Online publication date: Mar-2019
  • (2018)Circuit carving: A methodology for the design of approximate hardware2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2018.8342067(545-550)Online publication date: Mar-2018
  • (2018)Online Obstructive Sleep Apnea Detection on Medical Wearable SensorsIEEE Transactions on Biomedical Circuits and Systems10.1109/TBCAS.2018.282465912:4(762-773)Online publication date: Aug-2018
  • (2018)Heterogeneous and Inexact: Maximizing Power Efficiency of Edge Computing Sensors for Health Monitoring Applications2018 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2018.8351595(1-5)Online publication date: May-2018

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media