Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3194554.3194561acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Accelerating Coverage Directed Test Generation for Functional Verification: A Neural Network-based Framework

Published: 30 May 2018 Publication History

Abstract

With increasing design complexity, the correlation between test transactions and functional properties becomes non-intuitive, hence impacting the reliability of test generation. This paper presents a modified coverage directed test generation based on an Artificial Neural Network (ANN). The ANN extracts features of test transactions and only those which are learned to be critical, will be sent to the design under verification. Furthermore, the priority of coverage groups is dynamically learned based on the previous test iterations. With ANN-based screening, low-coverage or redundant assertions will be filtered out, which helps accelerate the verification process. This allows our framework to learn from the results of the previous vectors and use that knowledge to select the following test vectors. Our experimental results confirm that our learning-based framework can improve the speed of existing function verification techniques by 24.5x and also also deliver assertion coverage improvement, ranging from 4.3x to 28.9x, compared to traditional coverage directed test generation, implemented in UVM.

References

[1]
R. E. Bryant. "Graph-based algorithms for boolean function manipulation". In: TC. 1986.
[2]
R. E. Bryant. "Symbolic Boolean manipulation with ordered binary-decision diagrams". In: CSUR. 1992.
[3]
B. Bünz and M. Lamm. "Graph Neural Networks and Boolean Satisfiability". In: 2017.
[4]
D. Chatterjee, A. DeOrio, and V. Bertacco. "Event-driven gate-level simulation with GPGPUs". In: DAC. 2009.
[5]
M. Cheng, J. Li, and S. Nazarian. "DRL-cloud: Deep reinforcement learningbased resource provisioning and task scheduling for cloud service providers". In: ASPDAC. 2018.
[6]
S. Crago, K. Dunn, and P. Eads. "Heterogeneous cloud computing". In: CLUSTER. 2011.
[7]
D. Devlin and B. O'Sullivan. "Satisfiability as a classification problem". In: AICS. 2008.
[8]
D. Ernst. "Complexity and internationalisation of innovation". In: IJIM. 2005.
[9]
S. Fine and A. Ziv. "Coverage directed test generation for functional verification using bayesian networks". In: DAC. 2003.
[10]
M. Guevara, B. Lubin, and B. C Lee. "Navigating heterogeneous processors with market mechanisms". In: HPCA. 2013.
[11]
O. Guzey and L. Wang. "Coverage-directed test generation through automatic constraint extraction". In: HLVDT. 2007.
[12]
C. Ioannides and K. I Eder. "Coverage-directed test generation automated by machine learning--a review". In: (2012).
[13]
M. H. Neishaburi and Z. Zilic. "Enabling efficient post-silicon debug by clustering of hardware-assertions". In: DATE. 2010.
[14]
M. L. Rebaiaia, J. M. Jaam, and AM Hasnah. "A neural network algorithm for hardware-software verification". In: ICECS. 2003.
[15]
R. R. Schaller. "Moore's law: past, present and future". In: IEEE spectrum. 1997.
[16]
J. G Tong, M. Bottlé, and Z. Zilic. "Assertion clustering for compacted test sequence generation". In: ISQED. 2012.
[17]
Y. Xue et al. "Fundamental Challenges Toward Making the IoT a Reachable Reality: A Model-Centric Investigation". In: TODAES. 2017.

Cited By

View all
  • (2024)Survey of Machine Learning for Software-assisted Hardware Design Verification: Past, Present, and ProspectACM Transactions on Design Automation of Electronic Systems10.1145/366130829:4(1-42)Online publication date: 24-Apr-2024
  • (2024)Exploring Coverage Metrics in Hardware Fuzzing: A Comprehensive AnalysisProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3660386(240-245)Online publication date: 12-Jun-2024
  • (2024)SSFuzz:Generating syntactic and semantic seeds for RISC-V processorsProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658712(421-426)Online publication date: 12-Jun-2024
  • Show More Cited By

Index Terms

  1. Accelerating Coverage Directed Test Generation for Functional Verification: A Neural Network-based Framework

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          GLSVLSI '18: Proceedings of the 2018 Great Lakes Symposium on VLSI
          May 2018
          533 pages
          ISBN:9781450357241
          DOI:10.1145/3194554
          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Sponsors

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          Published: 30 May 2018

          Permissions

          Request permissions for this article.

          Check for updates

          Author Tags

          1. coverage directed test generation
          2. neural networks
          3. uvm

          Qualifiers

          • Research-article

          Conference

          GLSVLSI '18
          Sponsor:
          GLSVLSI '18: Great Lakes Symposium on VLSI 2018
          May 23 - 25, 2018
          IL, Chicago, USA

          Acceptance Rates

          GLSVLSI '18 Paper Acceptance Rate 48 of 197 submissions, 24%;
          Overall Acceptance Rate 312 of 1,156 submissions, 27%

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)62
          • Downloads (Last 6 weeks)9
          Reflects downloads up to 04 Oct 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2024)Survey of Machine Learning for Software-assisted Hardware Design Verification: Past, Present, and ProspectACM Transactions on Design Automation of Electronic Systems10.1145/366130829:4(1-42)Online publication date: 24-Apr-2024
          • (2024)Exploring Coverage Metrics in Hardware Fuzzing: A Comprehensive AnalysisProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3660386(240-245)Online publication date: 12-Jun-2024
          • (2024)SSFuzz:Generating syntactic and semantic seeds for RISC-V processorsProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658712(421-426)Online publication date: 12-Jun-2024
          • (2024)VerilogReader: LLM-Aided Hardware Test Generation2024 IEEE LLM Aided Design Workshop (LAD)10.1109/LAD62341.2024.10691801(1-5)Online publication date: 28-Jun-2024
          • (2024)An Approach to Enhance the Efficiency of RISC-V Verification Using Intelligent Algorithms2024 IEEE 7th International Conference on Electronic Information and Communication Technology (ICEICT)10.1109/ICEICT61637.2024.10670953(419-423)Online publication date: 31-Jul-2024
          • (2023)MorFuzzProceedings of the 32nd USENIX Conference on Security Symposium10.5555/3620237.3620311(1307-1324)Online publication date: 9-Aug-2023
          • (2023)Hybrid Optimized Verification Methodology using Deep Reinforcement Neural NetworkJournal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology10.3233/JIFS-23213245:3(3715-3728)Online publication date: 1-Jan-2023
          • (2023)Delay Prediction for ASIC HLS: Comparing Graph-Based and Nongraph-Based Learning ModelsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319797742:4(1133-1146)Online publication date: 1-Apr-2023
          • (2023)Robust GNN-Based Representation Learning for HLS2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323853(1-9)Online publication date: 28-Oct-2023
          • (2023)Machine Learning–Based VLSI Test and VerificationMachine Learning for VLSI Chip Design10.1002/9781119910497.ch3(33-50)Online publication date: 23-Jun-2023
          • Show More Cited By

          View Options

          Get Access

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media