Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/337292.337413acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Critical path analysis using a dynamically bounded delay model

Published: 01 June 2000 Publication History

Abstract

This paper focuses on static timing analysis in the presence of capacitive coupling. We propose a novel gate delay model, the dynamically bounded delay model. In contrast to the min-max or bounded delay model which assumes a fixed delay range, [dmin, dmax], for each circuit component, our new model allows for the specification of delay variations and the conditions upon which the variations will hold. Novel static timing analysis algorithms can thus dynamically bound the delays. To demonstrate the effectiveness of this model and approach, we use our model to perform critical path analysis in the presence of capacitive coupling. Our experiments show that our approach avoids pessimism when compared to PERT analysis assuming worst case capacitive coupling.

References

[1]
Pu Arunachalam. "CMOS Gate Delay Models for Coupled RC(L) Interconnect Loads". Master's thesis, Carnegie Mellon University, May 1998.
[2]
D. Brand and V. Iyengar. "Timing Analysis Using Fucntional Relationships". In Proc. of the IEEE International Conference on Computer-Aided Design (IC- CAD), pages 126-9, 1986.
[3]
F. Dartu, N. Menezes, J. Qian, and L. Pileggi. "A Gate-Delay Model for High Speed CMOS Circuits". In Proc. of the A CM//IEEE Design Automation Conference (DAC), pages 576-80, 1994.
[4]
F. Dartu and L. Pileggi. "Calculating Worse-Case Gate Delays Due to Dominant Capacitance Coupling". In Proc. of the A CM/IEEE Design Automation Conference (DAC), pages 46-51, 1997.
[5]
S. Devadas, K. Keutzer, and S. Malik. "Computation of Floating Mode Delay in Combinational Circuits: Theory and Algorithms". IEEE Transactions on Computer- Aided Design, 12(12):1913-23, December 1993.
[6]
D. Du, S. Yen, and S. Ghanta. "On the General False Path Problem in Timing Analysis". In Proc. of the ACM/IEEE Design Automation Conference (DAC), pages 555-60, 1989.
[7]
P. Feldmann and R. Freund. "Efficient Linear Circuit Analysis by Pad~ Approximation via the Lanczos Process". IEEE Transactions on Computer-Aided Design, 9(4):352-366, April 1990.
[8]
Pu Hitchcock. "Timing Verification and the Timing Analysis Program". In Proc. of the A CM/IEEE Design Automation Conference (DA C), pages 594-604, 1982.
[9]
S-T. Huang, T-M. Parng, and J-M. Shyu. "A New Approach to Solving False Path Problem in Timing Analysis". In Proc. of the IEEE International Conference on Computer-Aided Design (ICCAD), pages 216-219, 1991.
[10]
T. Kirkpatrick and N. Clark. "PERT as an Aid to Logic Design". "IBM Journal of Research and Developement", 10(2):135-141, March 1966.
[11]
P. McGeer and R. Brayton. "Integrating Fucntional and Temporal Domains in Logic Design". Kluwer Academic Publishers, 1991.
[12]
P. McGeer, A. Saldanha, R. Brayton, and A. Sangiovanni-Vincentelli. "Delay Models and Exact Timing Analysis". In T. Sasao, editor, "Logic Synthesis and Optimization", pages 167-89, 1993.
[13]
A. Odabasioglu, M. Celik, and L Pileggi. "A Passive Reduced-Order Interconnect Macromodeling Algorithm". In Proc. of the IEEE International Conference on Computer-Aided Design (ICCAD), pages 58- 65, 1997.
[14]
L. Pillage and Pu Rohrer. "Asympototic Waveform Evaluation for Timing Analysis". IEEE Transactions on Computer-Aided Design, 9(4):352-366, April 1990.
[15]
J. Qian, S. Pullela, and L. Pillage. "Modeling the Effective Capacitance for the RC Interconnect of CMOS Gates". IEEE Transactions on Computer-Aided Design, 13(12):1526-1535, December 1994.
[16]
K. Sakallah, T. Mudge, and O. Olukotun. "Analysis and Design of Latch-Controlled Synchronous Circuits". In Proc. of the A CM/IEEE Design Automation Conference (DAC), pages 111-17, 1990.
[17]
C-J Seger. "A Bounded Delay Race Model". In Proc. of the IEEE International Conference on Computer-Aided Design (ICCAD), pages 130-3, 1989.
[18]
K. Shepard, V. Narayanan, P. Elmendorf, and G. Zheng. "Global Harmony: Coupled Noise Analysis for Full-Chip RC Interconnect Networks". In Proc. of the IEEE International Conference on Computer-Aided Design (ICCAD), pages 139-46, 1997.
[19]
L. Silveira, M. Kamon, and J. White. "Efficient Reduced-Order Modeling of Frequency-Depending Coupling Inductances Associated With 3-D Interconnect Structures". In Proc. of the A CM//IEEE Design Automation Conference (DA C), pages 376-80, 1995.
[20]
T. Szymanski and N. Shenoy. "Verifying Clock Schedules". In Proc. of the IEEE International Conference on Computer-Aided Design (ICCAD), pages 124-131, November 1992.
[21]
S. Tasiran, S. Khatri, S. Yovine, R. Brayton, and A. Sangiovanni-Vincentelli. "A Timed Automaton- Based Method for Accurate Computation of Circuit Delay in the Presence of Cross Talk". In Formal Methods in Computer-Aided Design, 1998.
[22]
N. West and K. Eshraghian. "Principle of CMOS VLSI Design". Addison-Wesley, Reading, MA, 1992.
[23]
H. Williams. "Model Building in Mathematical Programming". John Wiley & Sons, 1978.

Cited By

View all
  • (2017)Aging-aware critical paths for process related validation in the presence of NBTI2017 18th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2017.7918356(445-448)Online publication date: Mar-2017
  • (2010)Identification of delay measurable PDFs using linear dependency relationshipsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.201754118:6(1011-1015)Online publication date: 1-Jun-2010
  • (2009)Computing bounds on dynamic power using fast zero-delay logic simulation2009 41st Southeastern Symposium on System Theory10.1109/SSST.2009.4806832(107-112)Online publication date: Mar-2009
  • Show More Cited By

Index Terms

  1. Critical path analysis using a dynamically bounded delay model

                      Recommendations

                      Comments

                      Information & Contributors

                      Information

                      Published In

                      cover image ACM Conferences
                      DAC '00: Proceedings of the 37th Annual Design Automation Conference
                      June 2000
                      819 pages
                      ISBN:1581131879
                      DOI:10.1145/337292
                      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                      Sponsors

                      Publisher

                      Association for Computing Machinery

                      New York, NY, United States

                      Publication History

                      Published: 01 June 2000

                      Permissions

                      Request permissions for this article.

                      Check for updates

                      Qualifiers

                      • Article

                      Conference

                      DAC00
                      Sponsor:
                      DAC00: ACM/IEEE-CAS/EDAC Design Automation Conference
                      June 5 - 9, 2000
                      California, Los Angeles, USA

                      Acceptance Rates

                      Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

                      Upcoming Conference

                      DAC '25
                      62nd ACM/IEEE Design Automation Conference
                      June 22 - 26, 2025
                      San Francisco , CA , USA

                      Contributors

                      Other Metrics

                      Bibliometrics & Citations

                      Bibliometrics

                      Article Metrics

                      • Downloads (Last 12 months)18
                      • Downloads (Last 6 weeks)2
                      Reflects downloads up to 30 Aug 2024

                      Other Metrics

                      Citations

                      Cited By

                      View all
                      • (2017)Aging-aware critical paths for process related validation in the presence of NBTI2017 18th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2017.7918356(445-448)Online publication date: Mar-2017
                      • (2010)Identification of delay measurable PDFs using linear dependency relationshipsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.201754118:6(1011-1015)Online publication date: 1-Jun-2010
                      • (2009)Computing bounds on dynamic power using fast zero-delay logic simulation2009 41st Southeastern Symposium on System Theory10.1109/SSST.2009.4806832(107-112)Online publication date: Mar-2009
                      • (2008)A Design Method for Ultra-High Speed for A New Wave Pipeline-based Circuit2008 IEEE Instrumentation and Measurement Technology Conference10.1109/IMTC.2008.4547279(1493-1498)Online publication date: May-2008
                      • (2007)Tradeoff Between Latch and Flop for Min-Period Sequential Circuit Designs With CrosstalkIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2006.88827326:7(1222-1232)Online publication date: 1-Jul-2007
                      • (2006)Timing analysis for full-custom circuits using symbolic DC formulationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2005.85951025:9(1815-1830)Online publication date: 1-Sep-2006
                      • (2006)Static timing analysis for level-clocked circuits in the presence of crosstalkIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2003.81620922:9(1270-1277)Online publication date: 1-Nov-2006
                      • (2006)Crosstalk noise minimization in domino logic designIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/43.94530520:9(1091-1100)Online publication date: 1-Nov-2006
                      • (2005)Trade-off between latch and flop for min-period sequential circuit designs with crosstalkProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129650(329-334)Online publication date: 31-May-2005
                      • (2005)Trade-off between latch and flop for min-period sequential circuit designs with crosstalkICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005.10.1109/ICCAD.2005.1560089(329-334)Online publication date: 2005
                      • Show More Cited By

                      View Options

                      View options

                      PDF

                      View or Download as a PDF file.

                      PDF

                      eReader

                      View online with eReader.

                      eReader

                      Get Access

                      Login options

                      Media

                      Figures

                      Other

                      Tables

                      Share

                      Share

                      Share this Publication link

                      Share on social media