Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Crossover-aware Placement and Routing for Inkjet Printed Circuits

Published: 30 January 2020 Publication History

Abstract

Printed Electronics technology is a key-enabler for smart sensors, soft robotics, and wearables. The inkjet printed electrolyte-gated field effect transistor (EGFET) technology is a promising candidate for such applications due to its low-power operation, high field-effect mobility, and on-demand fabrication. Unlike conventional silicon-based technologies, inkjet printed electronics technology is an additive manufacturing process where multiple layers are printed on top of each other to realize functional devices such as transistors and their interconnections. Due to the additive manufacturing process, the technology has limited routing layers. For routing of complex circuits, insulating crossovers are printed at the intersection of routing paths to isolate them. The crossover can alter the electrical properties of a circuit based on specific location on a routing path. In this work, we propose a crossover-aware placement and routing (COPnR) methodology for inkjet-printed circuits by integrating the crossover constraints in our design framework. Our proposed placement methodology is based on a state-of-the-art evolutionary algorithm while the routing optimization is done using a genetic algorithm. The proposed methodology is compared with the industrial standard placement and routing (PnR) tools. On average, the proposed methodology has 38% fewer crossovers and 94% fewer failing paths compared to the industrial PnR tools applied to printed circuit designs.

References

[1]
2017. Innovus Implementation System. Retrieved from: https://www.cadence.com/content/dam/cadence-www/global/en_US/documents/tools/digital-design-signoff/innovus-implementation-system-ds.pdf.
[2]
Synopsys, Inc. 2017. Synopsys Design Compiler. Retrieved from: https://www.synopsys.com/content/dam/synopsys/implementation8signoff/datasheets/dc-ultra-ds.pdf.
[3]
2017. Virtuoso Analog Design Environment. Retrieved from: https://www.cadence.com/content/dam/cadence-www/global/en_US/documents/tools/custom-ic-analog-rf-design/virtuoso-analog-design-environment-xl-ds.pdf.
[4]
Ameya R. Agnihotri, Satoshi Ono, Chen Li, Mehmet Can Yildiz, Ateen Khatkhate, Cheng-Kok Koh, and Patrick H. Madden. 2005. Mixed block placement via fractional cut recursive bisection. IEEE Trans. Comput.-Aided Des. Integ. Circ. Syst. 24, 5 (2005), 748--761.
[5]
Andreas Albrecht. 2018. Printed Sensors for the Internet of Things. Ph.D. Dissertation. Technische Universität München.
[6]
Luca Amarú, Pierre-Emmanuel Gaillardon, and Giovanni De Micheli. 2015. The EPFL combinational benchmark suite. In Proceedings of the 24th International Workshop on Logic 8 Synthesis (IWLS’15).
[7]
Franc Brglez, Phillip Pownall, and Robert Hum. 1985. Accelerated ATPG and fault grading via testability analysis. In Proceedings of the IEEE International Symposium on Circuits and Systems. 695--698.
[8]
Gabriel Cadilha Marques, Suresh Kumar Garlapati, Simone Dehm, Subho Dasgupta, Horst Hahn, Mehdi Tahoori, and Jasmin Aghassi-Hagmann. 2017. Digital power and performance analysis of inkjet printed ring oscillators based on electrolyte-gated oxide electronics. Appl. Phys. Lett. 111, 10 (2017), 102103.
[9]
Gabriel Cadilha Marques, Dennis Weller, Ahmet Turan Erozan, Xiaowei Feng, Mehdi Tahoori, and Jasmin Aghassi-Hagmann. 2019. Progress report on “From Printed Electrolyte-gated Metal-oxide Devices to Circuits.” Adv. Mat. 31, 26 (2019), 1806483.
[10]
Andrew E. Caldwell, Andrew B. Kahng, and Igor L. Markov. 2000. Can recursive bisection alone produce routable placements? In Proceedings of the 37th Design Automation Conference. ACM, 477--482.
[11]
Joseph Chang, Xi Zhang, Tong Ge, and Jia Zhou. 2014. Fully printed electronics on flexible substrates: High gain amplifiers and DAC. Org. Electron. 15, 3 (2014), 701--710.
[12]
J. S. Chang, A. F. Facchetti, and R. Reuss. 2017. A circuits and systems perspective of organic/printed electronics: Review, challenges, and contemporary and emerging design approaches. IEEE J. Emerg. Select. Topics Circ. Syst. 7, 1 (Mar. 2017), 7--26.
[13]
M. Charbonneau, D. Locatelli, S. Lombard, Christophe Serbutoviez, L. Tournon, Fabrizio Torricelli, Sahel Abdinia, Eugenio Cantatore, and M. Fattori. 2018. A large-area gravure printed process for P-type organic thin-film transistors on plastic substrates. In Proceedings of the 48th European Solid-State Device Research Conference (ESSDERC’18). IEEE, 70--73.
[14]
Tung-Chieh Chen, Tien-Chang Hsu, Zhe-Wei Jiang, and Yao-Wen Chang. 2005. NTUplace: A ratio partitioning based placement algorithm for large-scale mixed-size designs. In Proceedings of the International Symposium on Physical Design. ACM, 236--238.
[15]
Gabor Csardi and Tamas Nepusz. 2006. The igraph software package for complex network research. InterJ. Comp. Syst. 1695, 5 (2006). Retrieved from: http://igraph.org.
[16]
Edsger W. Dijkstra. 1959. A note on two problems in connexion with graphs. Numer. Math. 1, 1 (1959), 269--271.
[17]
Hans Eisenmann and Frank M. Johannes. 1998. Generic global placement and floorplanning. In Proceedings of the 35th Design Automation Conference. ACM, 269--274.
[18]
A. T. Erozan, R. Bishnoi, J. Aghassi-Hagmann, and M. B. Tahoori. 2019. Inkjet-printed true random number generator based on additive resistor tuning. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’19). 1361--1366.
[19]
A. T. Erozan, G. C. Marques, M. S. Golanbari, R. Bishnoi, S. Dehm, J. Aghassi-Hagmann, and M. B. Tahoori. 2018. Inkjet-printed EGFET-based physical unclonable function—Design, evaluation, and fabrication. IEEE Trans. Very Large Scale Integ. (VLSI) Syst. (2018), 1--12.
[20]
Suresh Kumar Garlapati, Tessy Theres Baby, Simone Dehm, Mohammed Hammad, Venkata Sai Kiran Chakravadhanula, Robert Kruk, Horst Hahn, and Subho Dasgupta. 2015. Ink-jet printed CMOS electronics from oxide semiconductors. Small 11, 29 (2015), 3591--3596.
[21]
Suresh Kumar Garlapati, Nilesha Mishra, Simone Dehm, Ramona Hahn, Robert Kruk, Horst Hahn, and Subho Dasgupta. 2013. Electrolyte-gated, high mobility inorganic oxide transistors from printed metal halides. ACS Appl. Mat. Interf. 5, 22 (2013), 11498--11502.
[22]
M. Guerin, A. Daami, S. Jacob, Emmanuel Bergeret, Evangéline Bènevent, P. Pannier, and R. Coppard. 2011. High-gain fully printed organic complementary circuits on flexible plastic foils. IEEE Trans. Elect. Dev. 58, 10 (2011), 3587--3593.
[23]
Nikolaus Hansen. 2016. The CMA evolution strategy: A tutorial. Retrieved on 17 January, 2020 from http://www.cmap.polytechnique.fr/∼nikolaus.hansen/cmatutorial110628.pdf.
[24]
Nikolaus Hansen, Youhei Akimoto, and Petr Baudis. 2019. CMA-ES/pycma on Github. Zenodo.
[25]
Nikolaus Hansen and Andreas Ostermeier. 1996. Adapting arbitrary normal mutation distributions in evolution strategies: The covariance matrix adaptation. In Proceedings of the IEEE International Conference on Evolutionary Computation. IEEE, 312--317.
[26]
Koichi Ishida, Naoki Masunaga, Ryo Takahashi, Tsuyoshi Sekitani, Shigeki Shino, Ute Zschieschang, Hagen Klauk, Makoto Takamiya, Takao Someya, and Takayasu Sakurai. 2010. User customizable logic paper (UCLP) with sea-of transmission-gates (SOTG) of 2-V organic CMOS and ink-jet printed interconnects. IEEE J. Solid-State Circ. 46, 1 (2010), 285--292.
[27]
S. K. M Jönsson, J. Birgerson, X. Crispin, G. Greczynski, W. Osikowicz, A. W. Denier van der Gon, W. R. Salaneck, and M. Fahlman. 2003. The effects of solvents on the morphology and sheet resistance in poly(3,4-ethylenedioxythiophene)-polystyrenesulfonic acid (PEDOT-PSS) films. Synth. Met. 139, 1 (2003), 1--10.
[28]
Andrew B. Kahng, Jens Lienig, Igor L. Markov, and Jin Hu. 2011. VLSI Physical Design: From Graph Partitioning to Timing Closure. Springer Science 8 Business Media.
[29]
Hagen Klauk. 2006. Organic Electronics: Materials, Manufacturing, and Applications. John Wiley 8 Sons.
[30]
Juozas Kulys and Eric J. D’Costa. 1991. Printed amperometric sensor based on TCNQ and cholinesterase. Biosens. Bioelect. 6, 2 (1991), 109--115.
[31]
Jimin Kwon, Yasunori Takeda, Kenjiro Fukuda, Kilwon Cho, Shizuo Tokito, and Sungjune Jung. 2016. Vertically stacked complementary organic field-effect transistors and logic circuits fabricated by inkjet printing. Adv. Elect. Mat. 2, 7 (2016), 1600046.
[32]
Chin Yang Lee. 1961. An algorithm for path connections and its applications. IRE Trans. Elect. Comput. 3 (1961), 346--365.
[33]
Ting Lei, Lei-Lai Shao, Yu-Qing Zheng, Gregory Pitner, Guanhua Fang, Chenxin Zhu, Sicheng Li, Ray Beausoleil, H.-S. Philip Wong, Tsung-Ching Huang, et al. 2019. Low-voltage high-performance flexible digital and analog circuits based on ultrahigh-purity semiconducting carbon nanotubes. Nat. Commun. 10, 1 (2019), 2161.
[34]
Dongdong Li, Wen-Yong Lai, Yi-Zhou Zhang, and Wei Huang. 2018. Printable transparent conductive films for flexible electronics. Adv. Mat. 30, 10 (2018), 1704738.
[35]
Yi Li, Russel Torah, Steve Beeby, and John Tudor. 2012. An all-inkjet printed flexible capacitor on a textile using a new poly (4-vinylphenol) dielectric ink for wearable applications. In Proceedings of the IEEE SENSORS Conference. IEEE, 1--4.
[36]
Jens Lienig and Krishnaiyan Thulasiraman. 1993. A genetic algorithm for channel routing in VLSI circuits. Evol. Comput. 1, 4 (1993), 293--311.
[37]
Yi Liu, Tianhong Cui, and Kody Varahramyan. 2003. All-polymer capacitor fabricated with inkjet printing technique. Solid-State Elect. 47, 9 (2003), 1543--1548.
[38]
Jingwei Lu, Pengwen Chen, Chin-Chih Chang, Lu Sha, Dennis J. Huang, Chin-Chi Teng, Chung-Kuan Cheng, et al. 2014. ePlace: Electrostatics based placement using Nesterov’s method. In Proceedings of the 51st Design Automation Conference. ACM, 1--6.
[39]
Gabriel Cadilha Marques, Suresh Kumar Garlapati, Debaditya Chatterjee, Simone Dehm, Subho Dasgupta, Jasmin Aghassi, and Mehdi B. Tahoori. 2017. Electrolyte-gated FETs based on oxide semiconductors: Fabrication and modeling. IEEE Trans. Elect. Dev. 64, 1 (2017), 279--285.
[40]
G. C. Marques, F. Rasheed, J. Aghassi-Hagmann, and M. B. Tahoori. 2018. From silicon to printed electronics: A coherent modeling and design flow approach based on printed electrolyte gated FETs. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC’18). 658--663.
[41]
Mohammad Mashayekhi, Adria Conde, Tse Nga Ng, Ping Mei, Eloi Ramon, Carme Martinez-Domingo, Ana Alcalde, Lluís Terés, and Jordi Carrabina Bordoll. 2015. Inkjet printing design rules formalization and improvement. J. Disp. Technol. 11, 8 (Aug. 2015), 658--665.
[42]
Mohammad Mashayekhi, Simon Ogier, Tim Pease, Lluis Teres, and Jordi Carrabina. 2015. Comparison of design styles for top-gate bottom-contact OTFTs. In Proceedings of the Conference on Design of Circuits and Integrated Systems (DCIS’15). IEEE, 1--9.
[43]
Melanie Mitchell. 1998. An Introduction to Genetic Algorithms. The MIT Press.
[44]
Steven Molesa, David R. Redinger, Daniel C. Huang, and Vivek Subramanian. 2003. High-quality inkjet-printed multilevel interconnects and inductive components on plastic for ultra-low-cost RFID applications. MRS Online Proc. Lib. Arch. 769 (2003).
[45]
Jordi Mujal, Eloi Ramon, and Jordi Carrabina. 2011. Methodology and tools for inkjet process abstraction for the design of flexible and organic electronics. Int. J. High Speed Elect. Syst. 20, 4 (2011), 829--842.
[46]
R. Parashkov, E. Becker, T. Riedl, H. Johannes, and W. Kowalsky. 2005. Large area electronics using printing methods. Proc. IEEE 93, 7 (July 2005), 1321--1329.
[47]
Vincenzo Pecunia, Marco Fattori, Sahel Abdinia, Henning Sirringhaus, and Eugenio Cantatore. 2018. Organic and Amorphous-Metal-Oxide Flexible Analogue Electronics. Cambridge University Press.
[48]
Jolke Perelaer, Patrick J. Smith, Dario Mager, Daniel Soltman, Steven K. Volkman, Vivek Subramanian, Jan G. Korvink, and Ulrich S. Schubert. 2010. Printed electronics: The challenges involved in printing devices, interconnects, and contacts based on inorganic materials. J. Mat. Chem. 20, 39 (2010), 8446--8453.
[49]
F. Rasheed, M. S. Golanbari, G. Cadilha Marques, M. B. Tahoori, and J. Aghassi-Hagmann. 2018. A smooth EKV-based DC model for accurate simulation of printed transistors and their process variations. IEEE Trans. Elect. Dev. 65, 2 (Feb. 2018), 667--673.
[50]
F. Rasheed, M. Hefenbrock, M. Beigl, M. B. Tahoori, and J. Aghassi-Hagmann. 2018. Variability modeling for printed inorganic electrolyte-gated transistors and circuits. IEEE Trans. Elect. Dev. 66, 1 (2018), 1--7.
[51]
F. Rasheed, M. Hefenbrock, R. Bishnoi, M. BeigI, J. Aghassi-Hagmann, and M. B. Tahoori. 2019. Predictive modeling and design automation of inorganic printed electronics. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’19). 30--35.
[52]
Brent A. Ridley, Babak Nivi, and Joseph M. Jacobson. 1999. All-inorganic field effect transistors fabricated by printing. Science 286, 5440 (1999), 746--749.
[53]
Veronica Sanchez-Romaguera, Marie-Beatrice Madec, and Stephen G. Yeates. 2008. Inkjet printing of 3D metal--insulator--metal crossovers. React. Funct. Polym. 68, 6 (2008), 1052--1058.
[54]
Carl Sechen and Alberto Sangiovanni-Vincentelli. 1985. The TimberWolf placement and routing package. IEEE J. Solid-State Circ. 20, 2 (1985), 510--522.
[55]
L. Shao, T. Huang, T. Lei, Z. Bao, R. Beausoleil, and K. Cheng. 2018. Process design kit for flexible hybrid electronics. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC’18). 651--657.
[56]
Naveed A. Sherwani. 2012. Algorithms for VLSI Physical Design Automation. Springer Science 8 Business Media.
[57]
Henning Sirringhaus. 2014. 25th anniversary article: Organic field-effect transistors: The path beyond amorphous silicon. Adv. Mat. 26, 9 (2014), 1319--1335.
[58]
Enrico Sowade, Eloi Ramon, Kalyan Yoti Mitra, Carme Martínez-Domingo, Marta Pedró, Jofre Pallarès, Fausta Loffredo, Fulvia Villani, Henrique L. Gomes, Lluís Terés, et al. 2016. All-inkjet-printed thin-film transistors: Manufacturing process reliability by root cause analysis. Sci. Rep. 6 (2016), 33490.
[59]
Wern-Jieh Sun and Carl Sechen. 1995. Efficient and effective placement for very large circuits. IEEE Trans. Comput.-Aided Des. Integ. Circ 14, 3 (1995), 349--359.
[60]
Daniel Tobjörk and Ronald Österbacka. 2011. Paper electronics. Adv. Mat. 23, 17 (2011), 1935--1961.
[61]
D. Weller, G. C. Marques, J. Aghassi-Hagmann, and M. B. Tahoori. 2018. An inkjet printed low-voltage latch based on inorganic electrolyte-gated transistors. IEEE Elect. Dev.ice Lett. 39, 6 (2018), 1--1.
[62]
Hans G. Wolf and Dieter A. Mlynski. 1996. A new genetic single-layer routing algorithm for analog transistor arrays. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’96), Vol. 4. IEEE, 655--658.
[63]
Y. Wu, C. H. M. Marée, R. F. Haglund, J. D. Hamilton, M. A. Morales Paliza, M. B. Huang, L. C. Feldman, and R. A. Weller. 1999. Resistivity and oxygen content of indium tin oxide films deposited at room temperature by pulsed-laser ablation. J. Appl. Phys. 86, 2 (1999), 991--994.
[64]
Yu Xia, Wei Zhang, Mingjing Ha, Jeong Ho Cho, Michael J. Renn, Chris H. Kim, and C. Daniel Frisbie. 2010. Printed sub-2 V gel-electrolyte-gated polymer transistors and circuits. Adv. Funct. Mat. 20, 4 (2010), 587--594.
[65]
Wei Xiong, Yang Guo, Ute Zschieschang, Hagen Klauk, and Boris Murmann. 2010. A 3-V, 6-bit C-2C digital-to-analog converter using complementary organic thin-film transistors on glass. IEEE J. Solid-State Circ. 45, 7 (2010), 1380--1388.
[66]
Ki Jun Yu, Zheng Yan, Mengdi Han, and John A. Rogers. 2017. Inorganic semiconducting materials for flexible and stretchable electronics. NPJ Flex. Elect. 1, 1 (2017), 4.
[67]
Shihui Zhang, Song Li, Shuo Cheng, Jian Ma, and Hongyuan Chang. 2015. Research on smart sensing RFID tags under flexible substrates in printed electronics. In Proceedings of the 16th International Conference on Electronic Packaging Technology (ICEPT’15). IEEE, 1006--1009.
[68]
J. Zhou, T. Ge, and J. S. Chang. 2016. Fully-additive printed electronics: Process Development Kit. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’16). 862--865.
[69]
Lu Zhou, Mengjie Yu, Xiaolian Chen, Shuhong Nie, Wen-Yong Lai, Wenming Su, Zheng Cui, and Wei Huang. 2018. Screen-printed poly (3, 4-Ethylenedioxythiophene): Poly (Styrenesulfonate) grids as ITO-free anodes for flexible organic light-emitting diodes. Adv. Funct. Mat. 28, 11 (2018), 1705955.

Cited By

View all
  • (2023)An Inkjet-Printed Inverter Array Realizing a Physically Unclonable Function2023 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS)10.1109/FLEPS57599.2023.10220404(1-4)Online publication date: 9-Jul-2023
  • (2021)Channel Geometry Scaling Effect in Printed Inorganic Electrolyte-Gated TransistorsIEEE Transactions on Electron Devices10.1109/TED.2021.305892968:4(1866-1871)Online publication date: Apr-2021
  • (2021)Printed Low- Voltage Crossbar-PUF for Identification2021 IEEE International Flexible Electronics Technology Conference (IFETC)10.1109/IFETC49530.2021.9580520(0062-0066)Online publication date: 8-Aug-2021

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 16, Issue 2
April 2020
261 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3375712
  • Editor:
  • Zhaojun Bai
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 30 January 2020
Accepted: 01 December 2019
Revised: 01 October 2019
Received: 01 June 2019
Published in JETC Volume 16, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Additive manufacturing
  2. design automation
  3. inkjet printed electronics
  4. placement
  5. routing

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • Ministry of Science, Research and Arts of the state of Baden- Württemberg

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)24
  • Downloads (Last 6 weeks)8
Reflects downloads up to 02 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)An Inkjet-Printed Inverter Array Realizing a Physically Unclonable Function2023 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS)10.1109/FLEPS57599.2023.10220404(1-4)Online publication date: 9-Jul-2023
  • (2021)Channel Geometry Scaling Effect in Printed Inorganic Electrolyte-Gated TransistorsIEEE Transactions on Electron Devices10.1109/TED.2021.305892968:4(1866-1871)Online publication date: Apr-2021
  • (2021)Printed Low- Voltage Crossbar-PUF for Identification2021 IEEE International Flexible Electronics Technology Conference (IFETC)10.1109/IFETC49530.2021.9580520(0062-0066)Online publication date: 8-Aug-2021

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media