Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3439706.3447048acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Multiple-Layer Multiple-Patterning Aware Placement Refinement for Mixed-Cell-Height Designs

Published: 21 March 2021 Publication History

Abstract

Conventional lithography techniques are unable to achieve the resolution required by advance technology nodes. Multiple patterning lithography (MPL) has been introduced as a viable solution. Besides, new standard cell structure with multiple middle-of-line (MOL) layers is adopted to improve intra-cell routability. A mixed-cell-height standard cell library, consisting of cells of single-row and multiple-row heights, is also used in designs for power, performance and area concerns. As a result, it becomes increasingly difficult to get a feasible placement for a mixed-cell-height design where multiple cell layers require MPL. In this paper, we present a methodology to refine a given mixed-cell-height standard cell placement for satisfying MPL requirements on multiple cell layers as much as possible, while minimizing the total cell displacement. We introduce the concept of uncolored cell group (UCG) to facilitate the effective removal of coloring conflicts. By eliminating UCGs without generating any new coloring conflict around them, the number of UCGs is effectively reduced in the local and global refinement stages of our methodology. We report promising experimental results to demonstrate the efficacy of our methodology.

References

[1]
Nangate 15nm Library. 2014. http://www.nangate.com.
[2]
Sang-Hoon Baek, Ha-Young Kim, Young-Keun Lee, Duck-Yang Jin, Se-Chang Park, and Jun-Dong Cho. 2008. Ultra-high density standard cell library using multi-height cell structure. In Proceedings of SPIE, Vol. 7268. 72680C--1--72680C--8.
[3]
Hua-Yu Chang and Iris Hui-Ru Jiang. 2016. Multiple patterning layout decomposition considering complex coloring rules. In Proceedings of DAC .
[4]
Jianli Chen, Ziran Zhu, Wenxing Zhu, and Yao-Wen Chang. 2017. Toward optimal legalization for mixed-cell-height circuit designs. In Proceedings of DAC .
[5]
Hsi-An Chien, Ye-Hong Chen, Szu-Yuan Han, Hsiu-Yu Lai, and Ting-Chi Wang. 2015a. On refining row-based detailed placement for triple patterning lithography. TCAD, Vol. 34, 5 (2015), 778--793.
[6]
Hsi-An Chien, Szu-Yuan Han, Ye-Hong Chen, and Ting-Chi Wang. 2015b. A cell-based row-structure layout decomposer for triple patterning lithography. In Proceedings of ISPD. 67--74.
[7]
Wing-Kai Chow, Chak-Wa Pui, and Evangeline F. Y. Young. 2016. Legalization algorithm for multiple-row height standard cell design. In Proceedings of DAC .
[8]
Brian Cline, Xiaoqing Xu, Greg M. Yeric, Bei Yu, and David Z. Pan. 2016. Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography. Journal of Micro/Nanolithography, Mems, and Moems, Vol. 15 (2016), 942707--1--942707--14.
[9]
Synopsys Design Compiler. 2015. http://www.synopsys.comr .
[10]
OpenCores Designs. 2017. http://opencores.org.
[11]
Cadence SOC Encounter. 2015. http://www.cadence.com.
[12]
Shao-Yun Fang, Yao-Wen Chang, and Wei-Yu Chen. 2014. A novel layout decomposition algorithm for triple patterning lithography. TCAD, Vol. 33, 3 (2014), 397--408.
[13]
Po-Ya Hsu and Yao-Wen Chang. 2015. Non-stitch triple patterning-aware routing based on conflict graph pre-coloring. In Proceedings of ASP-DAC. 390--395.
[14]
Chung-Yao Hung, Peng-Yi Chou, and Wai-Kei Mak. 2017. Mixed-cell-height standard cell placement legalization. In Proceedings of GLSVLSI . 149--154.
[15]
Andrew B. Kahng, Chul-Hong Park, Xu Xu, and Hailong Yao. 2008. Layout decomposition for double patterning lithography. In Proceedings of ICCAD . 465--472.
[16]
Jian Kuang, Wing-Kai Chow, and Evangeline F. Y. Young. 2014. Triple patterning lithography aware optimization for standard cell based Design. In Proceedings of ICCAD. 108--115.
[17]
Jian Kuang and Evangeline F. Y. Young. 2013. An efficient layout decomposition approach for triple patterning lithography. In Proceedings of DAC .
[18]
L. Liebmann. 2013. Keynote speech: The escalating design impact of resolution-challenged lithography. In Proceedings of ICCAD .
[19]
Yibo Lin, Bei Yu, Biying Xu, and David Z. Pan. 2017. Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict. TCAD, Vol. 36, 7 (2017), 1140--1152.
[20]
Yibo Lin, Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Natarajan Viswanathan, Wen-Hao Liu, Zhuo Li, Charles J. Alpert, and David Z. Pan. 2016. MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes. In Proceedings of ICCAD .
[21]
Xiaoping Tang and Minsik Cho. 2011. Optimal layout decomposition for double patterning technology. In Proceedings of ICCAD . 9--13.
[22]
Haitong Tian, Hongbo Zhang, Qiang Ma, Zigang Xiao, and Martin D.F. Wong. 2012. A polynomial time triple patterning algorithm for cell based row-structure layout. In Proceedings of ICCAD. 57--64.
[23]
Chao-Hung Wang, Yen-Yi Wu, Jianli Chen, Yao-Wen Chang, Sy-Yen Kuo, Wenxing Zhu, and Genghua Fan. 2017. An effective legalization algorithm for mixed-cell-height standard cells. In Proceedings of ASP-DAC. 450--455.
[24]
Gang Wu and Chris Chu. 2015. Detailed placement algorithm for VLSI design with double-row height standard cells. TCAD, Vol. 35, 9 (2015), 1569--1573.
[25]
Xiaoqing Xu, Brian Cline, Greg Yeric, Bei Yu, and David Z. Pan. 2016. Systematic framework for evaluating standard cell middle-of-line (MOL) robustness for multiple patterning lithography. JM3, Vol. 15, 2 (2016), 021202.
[26]
Yue Xu and Chris Chu. 2010. A matching based decomposer for double patterning lithography. In Proceedings of ISPD . 121--126.
[27]
Jae-Seok Yang, Katrina Lu, Minsik Cho, Kun Yuan, and David Z. Pan. 2010. A new graph-theoretic, multi-objective layout decomposition framework for double patterning lithography. In Proceedings of ASP-DAC. 18--21.
[28]
Bei Yu, Yen-Hung Lin, Gerard Luk-Pat, Duo Ding, Kevin Lucas, and Xuan Zeng. 2013. A high-performance triple patterning layout decomposer with balanced density. In Proceedings of ICCAD. 163--169.
[29]
Bei Yu and David Z. Pan. 2014. Layout decomposition for quadruple patterning lithography and beyond. In Proceedings of DAC .
[30]
Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Yibo Lin, Zhuo Li, Charles J. Alpert, and David Z. Pan. 2015a. Methodology for standard cell compliance and detailed placement for triple patterning lithography. TCAD, Vol. 34, 5 (2015), 726--739.
[31]
Bei Yu, Kun Yuan, Duo Ding, and David Z. Pan. 2015b. Layout decomposition for triple patterning lithography. TCAD, Vol. 34, 3 (2015), 433--446.
[32]
Bei Yu, Kun Yuan, Boyang Zhang, Duo Ding, and David Z. Pan. 2011. Layout decomposition for triple patterning lithography. In Proceedings ICCAD . 1--8.
[33]
Kun Yuan, Jae-Seok Yang, and David Z. Pan. 2010. Double patterning layout decomposition for simultaneous conflict and stitch minimization. TCAD, Vol. 29, 2 (2010), 185--196.
[34]
Ye Zhang, Wai-Shing Luk, Hai Zhou, Changhao Yan, and Xuan Zeng. 2011. Layout decomposition with pairwise coloring for multiple patterning lithography. In Proceedings of ICCAD. 170--177.

Index Terms

  1. Multiple-Layer Multiple-Patterning Aware Placement Refinement for Mixed-Cell-Height Designs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISPD '21: Proceedings of the 2021 International Symposium on Physical Design
    March 2021
    159 pages
    ISBN:9781450383004
    DOI:10.1145/3439706
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 21 March 2021

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. multiple patterning lithography
    2. placement

    Qualifiers

    • Research-article

    Conference

    ISPD '21
    Sponsor:
    ISPD '21: International Symposium on Physical Design
    March 22 - 24, 2021
    Virtual Event, USA

    Acceptance Rates

    Overall Acceptance Rate 62 of 172 submissions, 36%

    Upcoming Conference

    ISPD '25
    International Symposium on Physical Design
    March 16 - 19, 2025
    Austin , TX , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 223
      Total Downloads
    • Downloads (Last 12 months)22
    • Downloads (Last 6 weeks)5
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media