Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/344166.344526acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article
Free access

Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories

Published: 01 August 2000 Publication History

Abstract

Deep-submicron CMOS designs have resulted in large leakage energy dissipation in microprocessors. While SRAM cells in on-chip cache memories always contribute to this leakage, there is a large variability in active cell usage both within and across appli?cations. This paper explores an integrated architectural and circuit-level approach to reducing leakage energy dissipation in instruc?tion caches. We propose, gated-Vdd, a circuit-level technique to gate the supply voltage and reduce leakage in unused SRAM cells. Our results indicate that gated-Vdd together with a novel resizable cache architecture reduces energy-delay by 62% with minimal impact on performance.

References

[1]
D.H. Alboensi. Selective cache ways: On-demand cache resource allocation. In Proceedings of the 32nd Annual IEEE/A CM International Symposium on Microarchitecture (MICRO 32), Nov. 1999.
[2]
N. Bellas, I. Hajj, and C. Polychronopoulos. Using dynamic management techniques to reduce energy in high-performance processors. In Proceedings of the International Symposium on Low Power Electronics and Design (IS- LPED), Aug. 1999.
[3]
S. Borkar. Design challenges of technology scaling. IEEE Micro, 19(4):23--g9, July 1999.
[4]
D. Burger and T. M. Austin. The SimpleScalar tool set, version 2.0. Technical Report 1342, Computer Sciences Department, University of Wisconsin--Madison, June 1997.
[5]
K. Inoue, T. Ishihara, and K. Murakami. Way-predicting set-associative cache for high performance and low energy consumption. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), pages 273--g75, Aug. 1999.
[6]
M.B. Kamble and K. Ghose. Analytical energy dissipation models for low power caches. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), Aug. 1997.
[7]
J. Kin, M. Gupta, and W. H. Mangione-Smith. The filter cache: An energy efficient memory structure. In Proceedings of the 30th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 30), pages 184--1-93, Dec. 1997.
[8]
S. Manne, A. Klauser, and D. Grunwald. Pipline gating: Speculation control for energy reduction. In Proceedings of the 25th Annual International Symposium on Computer Architecture, pages 132 ! 41, June 1998.
[9]
L. Wei, Z. Chen, M. C. Johnson, K. Roy, and V. De. Design and optimization of low voltage high performance dual threshold CMOS circuits. In Proceedings of the 35th Design Automation Conference, pages 489-494, 1998.
[10]
S.J.E. Wilson and N. P. Jouppi. An enhanced access and cycle time model for on-chip caches. Technical Report 93/ 5, Digital Equipment Corporation, Western Research Laboratory, July 1994.
[11]
S.-H. Yang, M. D. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar. Dynamically resizable instruction cache: An energy-efficient and high-performance deep-submicron instruction cache. Technical Report ECE-007, School of Electrical and Computer Engineering, Purdue University, 2000.
[12]
Y. Ye, S. Borkar, and V. De. A new technique for standby leakage reduction in high performance circuits. In IEEE Symposium on VLSI Circuits, pages 40-41, 1998.

Cited By

View all
  • (2024)CAPE: Criticality-Aware Performance and Energy Optimization Policy for NCFET-Based CachesIEEE Transactions on Computers10.1109/TC.2024.345773473:12(2830-2843)Online publication date: Dec-2024
  • (2023)Low Leakage CMOS Design Technique with Stable State RetentionIETE Journal of Research10.1080/03772063.2023.220807670:4(4104-4113)Online publication date: 9-May-2023
  • (2023)Dynamic and execution views to improve validation, testing, and optimization of autonomous driving softwareSoftware Quality Journal10.1007/s11219-022-09609-x31:2(405-439)Online publication date: 15-Feb-2023
  • Show More Cited By

Index Terms

  1. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '00: Proceedings of the 2000 international symposium on Low power electronics and design
      August 2000
      313 pages
      ISBN:1581131909
      DOI:10.1145/344166
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 August 2000

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Article

      Conference

      ISLPED00
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)176
      • Downloads (Last 6 weeks)24
      Reflects downloads up to 06 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)CAPE: Criticality-Aware Performance and Energy Optimization Policy for NCFET-Based CachesIEEE Transactions on Computers10.1109/TC.2024.345773473:12(2830-2843)Online publication date: Dec-2024
      • (2023)Low Leakage CMOS Design Technique with Stable State RetentionIETE Journal of Research10.1080/03772063.2023.220807670:4(4104-4113)Online publication date: 9-May-2023
      • (2023)Dynamic and execution views to improve validation, testing, and optimization of autonomous driving softwareSoftware Quality Journal10.1007/s11219-022-09609-x31:2(405-439)Online publication date: 15-Feb-2023
      • (2022)A Conflict-Aware Capacity Control Mechanism for Deep Cache HierarchyIEICE Transactions on Information and Systems10.1587/transinf.2021EDP7201E105.D:6(1150-1163)Online publication date: 1-Jun-2022
      • (2022)LCINDEP: a novel technique for leakage reduction in FinFET based circuitsSemiconductor Science and Technology10.1088/1361-6641/aca3cb38:1(015003)Online publication date: 29-Nov-2022
      • (2022)Energy Efficient and Variability Immune Adder Circuits using Short Gate FinFET INDEP Technique at 10nm technology nodeAustralian Journal of Electrical and Electronics Engineering10.1080/1448837X.2022.206846820:1(1-12)Online publication date: 26-Apr-2022
      • (2021)Asymmetric aging effect on modern microprocessorsMicroelectronics Reliability10.1016/j.microrel.2021.114090119(114090)Online publication date: Apr-2021
      • (2020)RICHProceedings of the 34th ACM International Conference on Supercomputing10.1145/3392717.3392736(1-13)Online publication date: 29-Jun-2020
      • (2020)Soft error hardening enhancement analysis of NBTI tolerant Schmitt trigger circuitMicroelectronics Reliability10.1016/j.microrel.2020.113617107(113617)Online publication date: Apr-2020
      • (2020)CyNAPSE: A Low-power Reconfigurable Neural Inference Accelerator for Spiking Neural NetworksJournal of Signal Processing Systems10.1007/s11265-020-01546-xOnline publication date: 19-Jun-2020
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Login options

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media