Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3508352.3561101acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
invited-talk

SODA Synthesizer: An Open-Source, Multi-Level, Modular, Extensible Compiler from High-Level Frameworks to Silicon

Published: 22 December 2022 Publication History

Abstract

The SODA Synthesizer is an open-source, modular, end-to-end hardware compiler framework. The SODA frontend, developed in MLIR, performs system-level design, code partitioning, and high-level optimizations to prepare the specifications for the hardware synthesis. The backend is based on a state-of-the-art high-level synthesis tool and generates the final hardware design. The backend can interface with logic synthesis tools for field programmable gate arrays or with commercial and open-source logic synthesis tools for application-specific integrated circuits. We discuss the opportunities and challenges in integrating with commercial and open-source tools both at the frontend and backend, and highlight the role that an end-to-end compiler framework like SODA can play in an open-source hardware design ecosystem.

References

[1]
Mario Christopher Bedrunka, Dominik Wilde, Martin Kliemank, Dirk Reith, Holger Foysi, and Andreas Krämer. 2021. Lettuce: PyTorch-Based Lattice Boltzmann Framework. In High Performance Computing (ISC'21), Heike Jagode, Hartwig Anzt, Hatem Ltaief, and Piotr Luszczek (Eds.). 40--55.
[2]
Nicolas Bohm Agostini, Serena Curzel, Vinay Amatya, Cheng Tan, Marco Minutoli, Vito Giovanni Castellana, Joseph Manzano, David Kaeli, and Antonino Tumeo. 2022. An MLIR-based Compiler Flow for System-Level Design and Hardware Acceleration. In 41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD'22). To appear.
[3]
Nicolas Bohm Agostini, Serena Curzel, Jeff Zhang, Ankur Limaye, Cheng Tan, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph Manzano, David Brooks, Gu-Yeon Wei, and Antonino Tumeo. 2022. Bridging Python to Silicon: The SODA Toolchain. IEEE Micro (2022).
[4]
Vito Giovanni Castellana, Antonino Tumeo, and Fabrizio Ferrandi. 2021. High-Level Synthesis of Parallel Specifications Coupling Static and Dynamic Controllers. In IEEE International Parallel and Distributed Processing Symposium (IPDPS'21). 192--202.
[5]
Lawrence T. Clark, Vinay Vashishtha, Lucian Shifren, Aditya Gujja, Saurabh Sinha, Brian Cline, Chandarasekaran Ramamurthy, and Greg Yeric. 2016. ASAP7: A 7-nm finFET predictive process design kit. Microelectronics Journal 53 (July 2016), 105--115.
[6]
CIRCT Developers. 2020. CIRCT: Circuit IR Compilers and Tools. Retrieved August 07, 2022 from https://github.com/llvm/circt
[7]
Fabrizio Ferrandi, Vito Giovanni Castellana, Serena Curzel, Pietro Fezzardi, Michele Fiorito, Marco Lattuada, Marco Minutoli, Christian Pilato, and Antonino Tumeo. 2021. Bambu: an Open-Source Research Framework for the High-Level Synthesis of Complex Applications. In 58th ACM/IEEE Design Automation Conference (DAC'21). 1327--1330.
[8]
John L. Hennessy and David A. Patterson. 2019. A New Golden Age for Computer Architecture. Commun. ACM 62, 2 (Jan. 2019), 48--60.
[9]
Andrew B. Kahng and Tom Spyrou. 2021. The OpenROAD Project: Unleashing Hardware Innovation. In Government Microcircuit Applications and Critical Technology Conference. 1--6.
[10]
Chris Lattner, Mehdi Amini, Uday Bondhugula, Albert Cohen, Andy Davis, Jacques Pienaar, River Riddle, Tatiana Shpeisman, Nicolas Vasilache, and Oleksandr Zinenko. 2021. MLIR: Scaling Compiler Infrastructure for Domain Specific Computation. In IEEE/ACM International Symposium on Code Generation and Optimization (CGO'21). 2--14.
[11]
Paolo Mantovani, Davide Giri, Giuseppe Di Guglielmo, Luca Piccolboni, Joseph Zuckerman, Emilio G. Cota, Michele Petracca, Christian Pilato, and Luca P. Carloni. 2020. Agile SoC Development with Open ESP. In IEEE/ACM International Conference On Computer Aided Design (ICCAD'20). 1--9.
[12]
Omolemo Godwill Matlou and Adnan M. Abu-Mahfouz. 2017. Utilising artificial intelligence in software defined wireless sensor network. In 43rd Annual Conference of the IEEE Industrial Electronics Society (IECON'17). 6131--6136.
[13]
Marco Minutoli, Vito Giovanni Castellana, Nicola Saporetti, Stefano Devecchi, Marco Lattuada, Pietro Fezzardi, Antonino Tumeo, and Fabrizio Ferrandi. 2022. Svelto: High-Level Synthesis of Multi-Threaded Accelerators for Graph Analytics. IEEE Trans. Comput. 71, 3 (March 2022), 520--533.
[14]
Marco Minutoli, Vito Giovanni Castellana, Antonino Tumeo, and Fabrizio Ferrandi. 2015. Inter-procedural resource sharing in High Level Synthesis through function proxies. In 25th International Conference on Field Programmable Logic and Applications (FPL'15). 1--8.
[15]
Walter Lau Neto, Max Austin, Scott Temple, Luca Amaru, Xifan Tang, and Pierre-Emmanuel Gaillardon. 2019. LSOracle: a Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD'19). 1--6.
[16]
Ebberth L. Paula, Marcelo Ladeira, Rommel N. Carvalho, and Thiago Marzagão. 2016. Deep Learning Anomaly Detection as Support Fraud Investigation in Brazilian Exports and Anti-Money Laundering. In 15th IEEE International Conference on Machine Learning and Applications (ICMLA'16). 954--960.
[17]
Louis-Noël Pouchet and Tomofumi Yuki. 2021. Polybench/C 4.2.1. Retrieved August 07, 2022 from https://web.cse.ohio-state.edu/~pouchet.2/software/polybench
[18]
Steven Spurgeon, Colin Ophus, Lewys Jones, Amanda Petford-Long, Sergei Kalinin, Matthew Olszta, Rafal Dunin-Borkowski, Norman Salmon, Khalid Hattar, Wei-Chang Yang, Renu Sharma, Yingge Du, Ann Chiaramonti, Haimei Zheng, Edgar Buck, Libor Kovarik, R Penn, Dongsheng Li, Xin Zhang, and Mitra Taheri. 2020. Towards data-driven next-generation transmission electron microscopy. Nature Materials 20, 3 (Oct. 2020), 274--279.
[19]
Xifan Tang, Edouard Giacomin, Aurélien Alacchi, Baudouin Chauviere, and Pierre-Emmanuel Gaillardon. 2019. OpenFPGA: An Opensource Framework Enabling Rapid Prototyping of Customizable FPGAs. In 29th International Conference on Field Programmable Logic and Applications (FPL '19). 367--374.
[20]
Ruizhe Zhao, Jianyi Cheng, Wayne Luk, and George A. Constantinides. 2022. POLSCA: Polyhedral High-Level Synthesis with Compiler Transformations. In 32nd International Conference on Field Programmable Logic and Applications (FPL '22). To appear.

Index Terms

  1. SODA Synthesizer: An Open-Source, Multi-Level, Modular, Extensible Compiler from High-Level Frameworks to Silicon
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design
      October 2022
      1467 pages
      ISBN:9781450392174
      DOI:10.1145/3508352
      Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

      Sponsors

      In-Cooperation

      • IEEE-EDS: Electronic Devices Society
      • IEEE CAS
      • IEEE CEDA

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 22 December 2022

      Check for updates

      Author Tags

      1. hardware/software co-design
      2. high-level synthesis

      Qualifiers

      • Invited-talk

      Conference

      ICCAD '22
      Sponsor:
      ICCAD '22: IEEE/ACM International Conference on Computer-Aided Design
      October 30 - November 3, 2022
      California, San Diego

      Acceptance Rates

      Overall Acceptance Rate 457 of 1,762 submissions, 26%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 189
        Total Downloads
      • Downloads (Last 12 months)53
      • Downloads (Last 6 weeks)11
      Reflects downloads up to 25 Dec 2024

      Other Metrics

      Citations

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media