Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3528416.3530869acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
poster

Meet Monte Cimone: exploring RISC-V high performance compute clusters

Published: 17 May 2022 Publication History
  • Get Citation Alerts
  • Abstract

    The new open and royalty-free RISC-V ISA is attracting interest across the whole computing continuum, from microcontrollers to supercomputers. High-performance RISC-V processors and accelerators have been announced, but RISC-V-based HPC systems will need a holistic co-design effort, spanning memory, storage hierarchy interconnects and full software stack. In this paper, we describe Monte Cimone, a fully-operational multi-blade computer prototype and hardware-software test-bed based on U740, a double precision capable multi-core, 64 bit RISC-V SoC. Monte Cimone does not aim to achieve strong floating point performance, but it was built with the purpose of "priming the pipe" and exploring the challenges of integrating a multi-node RISC-V cluster capable of providing an HPC production stack including interconnect, storage and power monitoring infrastructure on RISC-V hardware. We present the results of our hardware/software integration effort, which demonstrate a remarkable level of software and hardware readiness and maturity - showing that the first-generation of RISC-V HPC machines may not be so far in the future.

    References

    [1]
    The Armida HPC system at E4. https://www.e4company.com/en/2020/06/energy-efficiency-in-the-hpc.
    [2]
    The Marconi100 HPC system at Cineca. https://www.hpc.cineca.it/hardware/marconi100.
    [3]
    RISC-V Exchange: Cores & SoCs. https://riscv.org/exchange/cores-socs.
    [4]
    Andrea Bartolini, Francesco Beneventi, and et al. Paving the Way Toward Energy-Aware and Automated Datacentre. In Proceedings of the 48th International Conference on Parallel Processing: Workshops, ICPP 2019, pages 8:1--8:8, New York, NY, USA, 2019. ACM.
    [5]
    Alexander Dörflinger, Mark Albers, and et al. A comparative survey of open-source application-class risc-v processor implementations. In Proceedings of the 18th ACM International Conference on Computing Frontiers, CF '21, page 12--20, 2021.
    [6]
    John L. Hennessy and David A. Patterson. A new golden age for computer architecture. Communications of the ACM, 62(2):48--60, 2019.
    [7]
    Michael Malms, Marcin Ostasz, Maike Gilliot, Pascale Bernier-Bruna, Laurent Cargemel, Estela Suarez, Herbert Cornelius, Marc Duranton, Benny Koren, Pascale Rosse-Laurent, et al. ETP4HPC's Strategic Research Agenda for High-Performance Computing in Europe 4. PhD thesis, European Technology Platform for High-Performance Computing (ETP4HPC), 2020.

    Cited By

    View all
    • (2024)“Interrupting” the Status Quo: A First Glance at the RISC-V Advanced Interrupt Architecture (AIA)IEEE Access10.1109/ACCESS.2024.335211412(9822-9833)Online publication date: 2024
    • (2023)Design of a Configurable Five-Stage Pipeline Processor Core Based on RV32IMElectronics10.3390/electronics1301012013:1(120)Online publication date: 28-Dec-2023
    • (2023)CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space ExplorationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.330283731:11(1713-1726)Online publication date: 28-Aug-2023
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CF '22: Proceedings of the 19th ACM International Conference on Computing Frontiers
    May 2022
    321 pages
    ISBN:9781450393386
    DOI:10.1145/3528416
    Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 17 May 2022

    Check for updates

    Author Tags

    1. HPC
    2. RISC-V
    3. cluster
    4. energy efficiency

    Qualifiers

    • Poster

    Funding Sources

    • The European PILOT (TEP)
    • European Union

    Conference

    CF '22
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 273 of 785 submissions, 35%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)45
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 27 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)“Interrupting” the Status Quo: A First Glance at the RISC-V Advanced Interrupt Architecture (AIA)IEEE Access10.1109/ACCESS.2024.335211412(9822-9833)Online publication date: 2024
    • (2023)Design of a Configurable Five-Stage Pipeline Processor Core Based on RV32IMElectronics10.3390/electronics1301012013:1(120)Online publication date: 28-Dec-2023
    • (2023)CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space ExplorationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.330283731:11(1713-1726)Online publication date: 28-Aug-2023
    • (2023)Model-Agnostic Federated LearningEuro-Par 2023: Parallel Processing10.1007/978-3-031-39698-4_26(383-396)Online publication date: 28-Aug-2023
    • (2022)Experimental evaluation of neutron-induced errors on a multicore RISC-V platform2022 IEEE 28th International Symposium on On-Line Testing and Robust System Design (IOLTS)10.1109/IOLTS56730.2022.9897448(1-7)Online publication date: 12-Sep-2022
    • (2022)Soft Error Assessment of CNN Inference Models Running on a RISC-V Processor2022 29th IEEE International Conference on Electronics, Circuits and Systems (ICECS)10.1109/ICECS202256217.2022.9970958(1-4)Online publication date: 24-Oct-2022

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media