Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

A Segmented Adaptive Router for Near Energy-Proportional Networks-on-Chip

Published: 23 August 2022 Publication History
  • Get Citation Alerts
  • Abstract

    A Network-on-Chip (NoC) is an essential component of a chip multiprocessor (CMP) which however contributes to a large fraction of system energy. The unpredictability of traffic across a NoC frequently involves an expensive over-sizing of NoC resources which in turn leads to a significant contribution to the CMP power consumption. There exists a body of work addressing this issue, however so far solutions fall short when aiming for power reduction whilst maintaining high NoC performance. This paper proposes to combine router architecture optimizations with smart resource management to overcome this limitation. Based on a fully segmented architecture, we present an online adaptive router adjusting its active routing resources to meet the current traffic demand. This enhanced power-gating strategy significantly decreases both static and dynamic power consumption of the NoC, up to 70% for synthetic traffic patterns and up to 58% for real traffic workloads, while preserving NoC latency and throughput. Thanks to these adaptive power-saving mechanisms the proposed segmented NoC router provides near energy-proportional operation across the range of used benchmarks.

    References

    [1]
    [n. d.]. NetworkX–NetworkX Documentation. https://networkx.org/.
    [2]
    Pablo Abad, Valentin Puente, José Angel Gregorio, and Pablo Prieto. 2007. Rotary router: An efficient architecture for CMP interconnection networks. In Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA’07) (San Diego, California, USA). Association for Computing Machinery, New York, NY, USA, 116–125.
    [3]
    Jung Ho Ahn, Young Hoon Son, and John Kim. 2013. Scalable high-radix router microarchitecture using a network switch organization. ACM Trans. Archit. Code Optim. 10, 3, Article 17 (Sept. 2013), 25 pages.
    [4]
    Multicore Architectures, Sheng Li, Jung Ho Ahn, Jay Brockman, and Norman Jouppi. 2009. McPAT 1.0: An integrated power, area, and timing modeling framework for multicore architecture. (01 2009).
    [5]
    Mario Badr and Natalie Enright Jerger. 2014. SynFull: Synthetic traffic models capturing cache coherent behaviour. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 109–120.
    [6]
    L. A. Barroso and U. Hölzle. 2007. The case for energy-proportional computing. Computer 40, 12 (2007), 33–37.
    [7]
    Y. Ben-Itzhak, E. Zahavi, I. Cidon, and A. Kolodny. 2012. HNOCS: Modular open-source simulator for Heterogeneous NoCs. In 2012 International Conference on Embedded Computer Systems (SAMOS). 51–57.
    [8]
    Christian Bienia. 2011. Benchmarking Modern Multiprocessors. Ph. D. Dissertation. Princeton University.
    [9]
    N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. 2006. The M5 simulator: Modeling networked systems. IEEE Micro 26, 4 (2006), 52–60.
    [10]
    L. Chen and T. M. Pinkston. 2012. NoRD: Node-router decoupling for effective power-gating of on-chip routers. In 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 270–281.
    [11]
    L. Chen, D. Zhu, M. Pedram, and T. M. Pinkston. 2015. Power punch: Towards non-blocking power-gating of NoC routers. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 378–389.
    [12]
    M. Clark, Y. Chen, A. Karanth, B. Ma, and A. Louri. 2020. DozzNoC: Reducing static and dynamic energy in NoCs with low-latency voltage regulators using machine learning. In 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 1–11.
    [13]
    William Dally and Brian Towles. 2003. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA.
    [14]
    C. R. Das, M. S. Yousif, V. Narayanan, D. Park, C. Nicopoulos, and J. Kim. 2006. A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In 33rd International Symposium on Computer Architecture (ISCA’06). 4–15.
    [15]
    B. K. Daya, C. O. Chen, S. Subramanian, W. Kwon, S. Park, T. Krishna, J. Holt, A. P. Chandrakasan, and L. Peh. 2014. SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA’14). 25–36.
    [16]
    G. Dimitrakopoulos, N. Georgiadis, C. Nicopoulos, and E. Kalligeros. 2013. Switch folding: Network-on-Chip routers with time-multiplexed output ports. In 2013 Design, Automation Test in Europe Conference Exhibition (DATE). 344–349.
    [17]
    J. Duato. 1995. A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks. IEEE Transactions on Parallel and Distributed Systems 6, 10 (1995), 1055–1067.
    [18]
    Charles Effiong, Gilles Sassatelli, and Abdoulaye Gamatie. 2017. Distributed and dynamic shared-buffer router for high-performance interconnect. In Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS’17) (Seoul, Republic of Korea). Association for Computing Machinery, New York, NY, USA, Article 2, 8 pages.
    [19]
    Charles Emmanuel Effiong. 2017. Exploration of Multicore Systems Based on Silicon Integrated Communication Networks. Phd Thesis. Université Montpellier. https://tel.archives-ouvertes.fr/tel-01944111.
    [20]
    Hossein Farrokhbakht, Hadi Mardani Kamali, Natalie Enright Jerger, and Shaahin Hessabi. 2018. SPONGE: A scalable pivot-based on/off gating engine for reducing static power in NoC routers. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’18) (Seattle, WA, USA). Association for Computing Machinery, New York, NY, USA, Article 17, 6 pages.
    [21]
    Hossein Farrokhbakht, Henry Kao, and Natalie Enright Jerger. 2019. UBERNoC: Unified buffer power-efficient router for network-on-chip. In Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip(NOCS’19) (New York, New York). Association for Computing Machinery, New York, NY, USA, Article 1, 8 pages.
    [22]
    H. Farrokhbakht, M. Taram, B. Khaleghi, and S. Hessabi. 2016. TooT: An efficient and scalable power-gating method for NoC routers. In 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS). 1–8.
    [23]
    Q. Fettes, M. Clark, R. Bunescu, A. Karanth, and A. Louri. 2019. Dynamic voltage and frequency scaling in NoCs with supervised and reinforcement learning techniques. IEEE Trans. Comput. 68, 3 (2019), 375–389.
    [24]
    Paul V. Gratz and Stephen W. Keckler. 2010. Realistic workload characterization and analysis for networks-on-chip design. In The 4th Workshop on Chip Multiprocessor Memory Systems and Interconnects (CMP-MSI).
    [25]
    Andreas Hansson, Goossens Kees, and Rădulescu Andrei. 2007. Avoiding message-dependent deadlock in network-based systems on chip. VLSI Design 2007 (04 2007).
    [26]
    S. M. Hassan and S. Yalamanchili. 2013. Centralized buffer router: A low latency, low power router for high radix NOCs. In 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS’13). 1–8.
    [27]
    Robert Hesse and Natalie Enright Jerger. 2015. Improving DVFS in NoCs with coherence prediction. In Proceedings of the 9th International Symposium on Networks-on-Chip (NOCS’15) (Vancouver, BC, Canada). Association for Computing Machinery, New York, NY, USA, Article 24, 8 pages.
    [28]
    Joel Hestness, Boris Grot, and Stephen W. Keckler. 2010. Netrace: Dependency-driven trace-based network-on-chip simulation. In Proceedings of the Third International Workshop on Network on Chip Architectures (NoCArc’10) (Atlanta, Georgia, USA). Association for Computing Machinery, New York, NY, USA, 31–36.
    [29]
    Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 5 (2007), 51–61.
    [30]
    A. B. Kahng, B. Lin, and S. Nath. 2015. ORION3.0: A comprehensive NoC router estimation tool. IEEE Embedded Systems Letters 7, 2 (2015), 41–45.
    [31]
    G. Kim, J. Kim, and S. Yoo. 2011. FlexiBuffer: Reducing leakage power in on-chip network routers. In 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC). 936–941.
    [32]
    J. Kim. 2009. Low-cost router microarchitecture for on-chip networks. In 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 255–266.
    [33]
    A. Kumary, P. Kunduz, A. P. Singhx, L. Pehy, and N. K. Jhay. 2007. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In 2007 25th International Conference on Computer Design. 63–70.
    [34]
    Li Shang, L. Peh, and N. K. Jha. 2002. Power-efficient interconnection networks: Dynamic voltage scaling with links. IEEE Computer Architecture Letters 1, 1 (2002), 6–6.
    [35]
    H. Matsutani, M. Koibuchi, D. Ikebuchi, K. Usami, H. Nakamura, and H. Amano. 2010. Ultra fine-grained run-time power gating of on-chip routers for CMPs. In 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS’10). 61–68.
    [36]
    Fernando Gehm Moraes, Aline Mello, Leandro Möller, Luciano Ost, and Ney Laert Vilar Calazans. 2003. A low area overhead packet-switched network on chip: Architecture and prototyping. In IFIP VLSI-SoC 2003, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Darmstadt, Germany, 1–3 December 2003, Manfred Glesner, Ricardo Augusto da Luz Reis, Hans Eveking, Vincent John Mooney III, Leandro Soares Indrusiak, and Peter Zipf (Eds.). Technische Universität Darmstadt, Insitute of Microelectronic Systems, 318–323.
    [37]
    C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das. 2006. ViChaR: A dynamic virtual channel regulator for network-on-chip routers. In 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 333–346.
    [38]
    Iván Pérez, Enrique Vallejo, and Ramón Beivide. 2019. SMART++: Reducing cost and improving efficiency of multi-hop bypass in NoC routers. In Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS’19) (New York, New York). Association for Computing Machinery, New York, NY, USA, Article 5, 8 pages.
    [39]
    Iván Pérez, Enrique Vallejo, and Ramón Beivide. 2021. S-SMART++: A low-latency NoC leveraging speculative bypass requests. IEEE Trans. Comput. 70, 6 (2021), 819–832.
    [40]
    R. S. Ramanujam, V. Soteriou, B. Lin, and L. Peh. 2010. Design of a high-throughput distributed shared-buffer NoC router. In 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS’10). 69–78.
    [41]
    A. Samih, R. Wang, A. Krishna, C. Maciocco, C. Tai, and Y. Solihin. 2013. Energy-efficient interconnect via router parking. In 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA). 508–519.
    [42]
    Yuval Tamir and Gregory L. Frazier. 1992. Dynamically-allocated multi-queue buffers for VLSI communication switches. IEEE Trans. Comput. 41, 6 (June 1992), 725–737.
    [43]
    A. T. Tran and B. M. Baas. 2011. RoShaQ: High-performance on-chip router with shared queues. In 2011 IEEE 29th International Conference on Computer Design (ICCD). 232–238.
    [44]
    András Varga and Rudolf Hornig. 2008. An overview of the OMNeT++ simulation environment. In Proceedings of the 1st International Conference on Simulation Tools and Techniques for Communications, Networks and Systems Amp; Workshops (Marseille, France) (Simutools’08). ICST (Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering), Brussels, BEL, Article 60, 10 pages.
    [45]
    Yuan Yao and Zhonghai Lu. 2016. DVFS for NoCs in CMPs: A thread voting approach. In 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). 309–320.
    [46]
    Jieming Yin, Onur Kayiran, Matthew Poremba, Natalie Enright Jerger, and Gabriel H. Loh. 2016. Efficient synthetic traffic models for large, complex SoCs. In 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). 297–308.
    [47]
    Di Zhu, Yunfan Li, and Lizhong Chen. 2019. On trade-off between static and dynamic power consumption in NoC power gating. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 1–6.
    [48]
    Davide Zoni, Andrea Canidio, William Fornaciari, Panayiotis Englezakis, Chrysostomos Nicopoulos, and Yiannakis Sazeides. 2017. BlackOut: Enabling fine-grained power gating of buffers in Network-on-Chip routers. J. Parallel and Distrib. Comput. 104 (2017), 130–145.

    Cited By

    View all
    • (2024)Embedded systems for low-power applicationsTinyML for Edge Intelligence in IoT and LPWAN Networks10.1016/B978-0-44-322202-3.00007-5(13-26)Online publication date: 2024
    • (2024)HTPA: a hybrid traffic pattern aware arbitration strategy for network on chip systemsCluster Computing10.1007/s10586-024-04568-3Online publication date: 27-May-2024
    • (2023)Energy-Efficient Multiple Network-on-Chip Architecture With Bandwidth ExpansionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.324485931:4(442-455)Online publication date: 1-Apr-2023

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Embedded Computing Systems
    ACM Transactions on Embedded Computing Systems  Volume 21, Issue 4
    July 2022
    330 pages
    ISSN:1539-9087
    EISSN:1558-3465
    DOI:10.1145/3551651
    • Editor:
    • Tulika Mitra
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 23 August 2022
    Online AM: 12 April 2022
    Accepted: 01 March 2022
    Revised: 01 February 2022
    Received: 01 November 2021
    Published in TECS Volume 21, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Network-on-chip
    2. energy efficiency
    3. dynamic power management

    Qualifiers

    • Research-article
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)72
    • Downloads (Last 6 weeks)10
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Embedded systems for low-power applicationsTinyML for Edge Intelligence in IoT and LPWAN Networks10.1016/B978-0-44-322202-3.00007-5(13-26)Online publication date: 2024
    • (2024)HTPA: a hybrid traffic pattern aware arbitration strategy for network on chip systemsCluster Computing10.1007/s10586-024-04568-3Online publication date: 27-May-2024
    • (2023)Energy-Efficient Multiple Network-on-Chip Architecture With Bandwidth ExpansionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.324485931:4(442-455)Online publication date: 1-Apr-2023

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    Full Text

    HTML Format

    View this article in HTML Format.

    HTML Format

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media